Parent directory/ | - | - |
lua-psl-0.3-r0.apk | 1158 | 2024-Oct-25 19:07 |
lua-linenoise-0.9-r1.apk | 1199 | 2024-Oct-25 19:07 |
simpleble-0.6.1-r2.apk | 1204 | 2024-Dec-14 19:23 |
lua-libmodbus-0.6.1-r0.apk | 1210 | 2024-Oct-25 19:07 |
lua-lcurses-9.0.0-r0.apk | 1215 | 2024-Oct-25 19:07 |
boxed-cpp-1.4.3-r0.apk | 1217 | 2024-Oct-25 19:06 |
lua-editorconfig-0.3.0-r0.apk | 1229 | 2024-Oct-25 19:07 |
msgpuck-2.0-r1.apk | 1233 | 2024-Oct-25 19:07 |
wasm-micro-runtime-2.2.0-r0.apk | 1234 | 2024-Dec-05 16:11 |
dotnet6-stage0-6.0.116-r4.apk | 1236 | 2024-Oct-25 19:06 |
font-fira-4.202-r0.apk | 1237 | 2024-Oct-25 19:07 |
tofutf-0.10.0-r1.apk | 1239 | 2025-Jan-25 07:04 |
luacov-html-1.0.0-r1.apk | 1244 | 2024-Oct-25 19:07 |
libnest2d-0.4-r7.apk | 1244 | 2025-Feb-06 04:42 |
libqofono-0.124-r0.apk | 1248 | 2025-Jan-10 15:33 |
linuxptp-4.4-r0.apk | 1248 | 2024-Nov-20 02:43 |
restinio-0.6.19-r1.apk | 1259 | 2024-Dec-14 19:23 |
baikal-mysql-0.10.1-r0.apk | 1259 | 2024-Nov-10 17:06 |
font-fantasque-sans-1.8.0-r0.apk | 1260 | 2024-Oct-25 19:07 |
baikal-pgsql-0.10.1-r0.apk | 1261 | 2024-Nov-10 17:06 |
nb-full-7.15.1-r0.apk | 1266 | 2025-Feb-04 17:20 |
lumina-desktop-1.6.2-r0.apk | 1269 | 2024-Oct-25 19:07 |
ruby-build-runtime-20241225.2-r0.apk | 1279 | 2025-Jan-09 06:30 |
apk-readme-0.1-r1.apk | 1307 | 2024-Oct-25 19:05 |
musikcube-plugin-all-3.0.4-r1.apk | 1315 | 2025-Jan-26 19:29 |
baikal-sqlite-0.10.1-r0.apk | 1410 | 2024-Nov-10 17:06 |
elfio-3.12-r0.apk | 1426 | 2024-Oct-25 19:07 |
lua-lanes-3.16.0-r1.apk | 1456 | 2024-Oct-25 19:07 |
dvdbackup-lang-0.4.2-r1.apk | 1462 | 2024-Oct-25 19:07 |
lua-xml-1.1.3-r2.apk | 1464 | 2024-Oct-25 19:07 |
logc-libs-0.1.0-r0.apk | 1470 | 2024-Oct-25 19:07 |
freshrss-mysql-1.23.1-r1.apk | 1470 | 2024-Oct-25 19:07 |
freshrss-sqlite-1.23.1-r1.apk | 1471 | 2024-Oct-25 19:07 |
freshrss-pgsql-1.23.1-r1.apk | 1473 | 2024-Oct-25 19:07 |
nextpnr-0.7-r0.apk | 1482 | 2024-Oct-25 19:07 |
arc-theme-20221218-r0.apk | 1482 | 2024-Oct-25 19:05 |
f_scripts-0.6-r1.apk | 1484 | 2024-Oct-25 19:07 |
luacov-0.15.0-r0.apk | 1485 | 2024-Oct-25 19:07 |
libwmiclient-1.3.16-r5.apk | 1485 | 2024-Oct-25 19:07 |
font-monaspace-1.101-r0.apk | 1488 | 2024-Oct-25 19:07 |
prosody-modules-0.11_hg20201208-r0.apk | 1488 | 2024-Oct-25 19:08 |
oils-for-unix-bash-0.26.0-r1.apk | 1491 | 2025-Feb-04 17:20 |
aufs-util-dev-20161219-r3.apk | 1491 | 2024-Oct-25 19:05 |
lua-luastatic-0.0.12-r1.apk | 1497 | 2024-Oct-25 19:07 |
oils-for-unix-binsh-0.26.0-r1.apk | 1497 | 2025-Feb-04 17:20 |
qoi-0.0.0_git20230312-r0.apk | 1504 | 2024-Oct-25 19:08 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1505 | 2024-Oct-25 19:07 |
termcolor-2.1.0-r0.apk | 1507 | 2024-Oct-25 19:08 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1508 | 2024-Oct-25 19:07 |
lomiri-thumbnailer-doc-3.0.4-r1.apk | 1515 | 2025-Jan-26 19:29 |
66-init-0.8.0.1-r0.apk | 1521 | 2025-Jan-12 13:00 |
qt5ct-dev-1.8-r0.apk | 1523 | 2024-Oct-25 19:08 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1539 | 2024-Oct-25 19:08 |
slidge-matridge-openrc-0.1.0-r0.apk | 1544 | 2024-Oct-25 19:08 |
android-file-transfer-dev-4.3-r1.apk | 1555 | 2025-Jan-26 19:29 |
libopensles-standalone-dev-0_git20240221-r0.apk | 1556 | 2024-Oct-25 19:07 |
remake-make-1.5-r1.apk | 1570 | 2024-Oct-25 19:08 |
foolsm-openrc-1.0.21-r0.apk | 1586 | 2024-Oct-25 19:07 |
grlx-sprout-openrc-1.0.5-r1.apk | 1610 | 2025-Jan-25 07:03 |
iwasm-2.2.0-r0.apk | 1612 | 2024-Dec-05 16:11 |
barman-bash-completion-3.12.1-r0.apk | 1617 | 2024-Dec-31 16:20 |
grlx-farmer-openrc-1.0.5-r1.apk | 1621 | 2025-Jan-25 07:03 |
aprilsh-0.7.12-r1.apk | 1622 | 2025-Jan-25 07:03 |
lizardfs-master-openrc-3.13.0-r14.apk | 1626 | 2024-Dec-03 14:30 |
lizardfs-metalogger-openrc-3.13.0-r14.apk | 1631 | 2024-Dec-03 14:30 |
lizardfs-chunkserver-openrc-3.13.0-r14.apk | 1633 | 2024-Dec-03 14:30 |
nullmailer-openrc-2.2-r4.apk | 1634 | 2024-Oct-25 19:07 |
throttled-openrc-0.10.0-r1.apk | 1645 | 2024-Dec-15 19:22 |
dstask-zsh-completion-0.26-r10.apk | 1645 | 2025-Jan-25 07:03 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1646 | 2024-Oct-25 19:08 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r24.apk | 1647 | 2025-Jan-25 07:04 |
tremc-zsh-completion-0.9.3-r0.apk | 1647 | 2024-Oct-25 19:08 |
moosefs-master-openrc-3.0.117-r2.apk | 1647 | 2024-Oct-25 19:07 |
lxd-feature-doc-5.20-r7.apk | 1649 | 2025-Jan-25 07:04 |
moosefs-metalogger-openrc-3.0.117-r2.apk | 1653 | 2024-Oct-25 19:07 |
repgrep-bash-completion-0.15.0-r0.apk | 1653 | 2024-Oct-25 19:08 |
moosefs-chunkserver-openrc-3.0.117-r2.apk | 1655 | 2024-Oct-25 19:07 |
ruuvi-prometheus-openrc-0.1.7-r6.apk | 1656 | 2025-Jan-25 07:04 |
pigpio-openrc-79-r4.apk | 1660 | 2024-Oct-25 19:08 |
repgrep-zsh-completion-0.15.0-r0.apk | 1660 | 2024-Oct-25 19:08 |
py3-litex-hub-modules-2024.04-r0.apk | 1660 | 2024-Oct-25 19:08 |
pimd-openrc-3.0_git20220201-r0.apk | 1661 | 2024-Oct-25 19:08 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1673 | 2024-Oct-25 19:09 |
fileshelter-openrc-6.2.0-r2.apk | 1674 | 2024-Dec-07 00:23 |
tuned-openrc-2.24.1-r1.apk | 1676 | 2025-Jan-27 15:48 |
lutgen-zsh-completion-0.11.2-r0.apk | 1687 | 2024-Oct-25 19:07 |
wch-isp-udev-rules-0.4.1-r2.apk | 1687 | 2024-Oct-25 19:09 |
dotnet-zsh-completion-6.0.136-r1.apk | 1703 | 2024-Nov-25 05:19 |
zrepl-openrc-0.6.1-r7.apk | 1704 | 2025-Jan-25 07:04 |
hiawatha-openrc-11.6-r0.apk | 1716 | 2024-Oct-25 19:07 |
3proxy-openrc-0.9.4-r1.apk | 1717 | 2024-Oct-25 19:05 |
wroomd-openrc-0.1.0-r0.apk | 1718 | 2024-Oct-25 19:09 |
speedtest-go-openrc-1.1.5-r11.apk | 1721 | 2025-Jan-25 07:04 |
monopd-openrc-0.10.4-r0.apk | 1721 | 2025-Jan-11 11:11 |
bestline-dev-0.0_git20211108-r0.apk | 1721 | 2024-Oct-25 19:05 |
lutgen-bash-completion-0.11.2-r0.apk | 1723 | 2024-Oct-25 19:07 |
nymphcast-mediaserver-nftables-0.1-r3.apk | 1724 | 2024-Oct-25 19:07 |
tremc-bash-completion-0.9.3-r0.apk | 1725 | 2024-Oct-25 19:08 |
dcmtk-openrc-3.6.9-r0.apk | 1728 | 2025-Jan-25 07:03 |
interception-tools-openrc-0.6.8-r2.apk | 1729 | 2024-Oct-25 19:07 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1729 | 2024-Oct-25 19:08 |
neard-openrc-0.19-r0.apk | 1730 | 2024-Oct-25 19:07 |
wf-shell-dev-0.8.1-r0.apk | 1730 | 2024-Oct-25 19:09 |
tuptime-openrc-5.2.4-r1.apk | 1731 | 2024-Nov-28 22:44 |
libwmiclient-dev-1.3.16-r5.apk | 1733 | 2024-Oct-25 19:07 |
rinetd-openrc-0.73-r0.apk | 1733 | 2024-Oct-25 19:08 |
fastd-openrc-23-r0.apk | 1738 | 2025-Jan-27 21:33 |
tuned-ppd-openrc-2.24.1-r1.apk | 1738 | 2025-Jan-27 15:48 |
materia-20210322-r1.apk | 1739 | 2024-Oct-25 19:07 |
fusee-nano-udev-0.5.3-r1.apk | 1745 | 2024-Oct-25 19:07 |
kopia-bash-completion-0.18.2-r1.apk | 1747 | 2025-Jan-25 07:04 |
materia-dark-20210322-r1.apk | 1747 | 2024-Oct-25 19:07 |
octoprint-openrc-1.10.3-r0.apk | 1747 | 2024-Dec-25 22:04 |
materia-compact-20210322-r1.apk | 1749 | 2024-Oct-25 19:07 |
bionic_translation-dev-0_git20240525-r0.apk | 1750 | 2024-Oct-25 19:05 |
avahi2dns-openrc-0.0.1_git20240102-r3.apk | 1752 | 2025-Jan-25 07:03 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1752 | 2024-Oct-25 19:08 |
pantalaimon-ui-0.10.5-r4.apk | 1757 | 2024-Oct-25 19:08 |
repowerd-openrc-2023.07-r2.apk | 1760 | 2024-Oct-25 19:08 |
razercfg-openrc-0.42-r7.apk | 1760 | 2024-Oct-25 19:08 |
pully-openrc-1.0.0-r0.apk | 1760 | 2024-Oct-25 19:08 |
materia-dark-compact-20210322-r1.apk | 1765 | 2024-Oct-25 19:07 |
kopia-zsh-completion-0.18.2-r1.apk | 1766 | 2025-Jan-25 07:04 |
docker-volume-local-persist-openrc-1.3.0-r29.apk | 1766 | 2025-Jan-25 07:03 |
geodns-openrc-3.3.0-r9.apk | 1767 | 2025-Jan-25 07:03 |
lutgen-fish-completion-0.11.2-r0.apk | 1767 | 2024-Oct-25 19:07 |
vcstool-zsh-completion-0.3.0-r5.apk | 1768 | 2024-Oct-25 19:09 |
ghq-bash-completion-1.7.1-r1.apk | 1775 | 2025-Jan-25 07:03 |
cliphist-fzf-0.6.1-r1.apk | 1776 | 2025-Jan-25 07:03 |
nmap-parse-output-bash-completion-1.5.1-r0.apk | 1777 | 2024-Oct-25 19:07 |
cloudflared-openrc-2024.12.1-r1.apk | 1777 | 2025-Jan-25 07:03 |
crowdsec-openrc-1.6.4-r1.apk | 1782 | 2025-Jan-25 07:03 |
arc-20221218-r0.apk | 1790 | 2024-Oct-25 19:05 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1791 | 2024-Oct-25 19:08 |
spacectl-zsh-completion-1.0.0-r2.apk | 1791 | 2025-Jan-25 07:04 |
arc-dark-20221218-r0.apk | 1796 | 2024-Oct-25 19:05 |
vcstool-bash-completion-0.3.0-r5.apk | 1798 | 2024-Oct-25 19:09 |
filebrowser-openrc-2.27.0-r7.apk | 1804 | 2025-Jan-25 07:03 |
arc-darker-20221218-r0.apk | 1804 | 2024-Oct-25 19:05 |
taskcafe-openrc-0.3.6-r9.apk | 1806 | 2025-Jan-25 07:04 |
prosody-mod-block_registrations-0.11_hg20201208-..> | 1807 | 2024-Oct-25 19:08 |
arc-lighter-20221218-r0.apk | 1807 | 2024-Oct-25 19:05 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1808 | 2024-Oct-25 19:08 |
otrs-fastcgi-6.0.48-r2.apk | 1810 | 2024-Oct-25 19:08 |
efl-gdb-1.27.0-r1.apk | 1813 | 2024-Oct-25 19:07 |
aprilsh-openrc-0.7.12-r1.apk | 1813 | 2025-Jan-25 07:03 |
reaction-openrc-1.4.1-r2.apk | 1815 | 2025-Jan-25 07:04 |
fyi-bash-completion-1.0.4-r0.apk | 1817 | 2024-Oct-25 19:07 |
jackal-openrc-0.64.0-r11.apk | 1818 | 2025-Jan-25 07:04 |
66-tools-dev-0.1.1.0-r0.apk | 1821 | 2025-Jan-12 13:00 |
yazi-fish-completion-0.4.2-r0.apk | 1826 | 2024-Dec-20 16:09 |
peervpn-openrc-0.044-r5.apk | 1829 | 2024-Oct-25 19:08 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1832 | 2024-Oct-25 19:07 |
espeakup-openrc-0.90-r2.apk | 1833 | 2024-Oct-25 19:07 |
otrs-nginx-6.0.48-r2.apk | 1833 | 2024-Oct-25 19:08 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1834 | 2024-Oct-25 19:08 |
hwatch-fish-completion-0.3.11-r0.apk | 1835 | 2024-Oct-25 19:07 |
piping-server-openrc-0.18.0-r0.apk | 1837 | 2024-Oct-25 19:08 |
dotnet-bash-completion-6.0.136-r1.apk | 1837 | 2024-Nov-25 05:19 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1840 | 2024-Oct-25 19:07 |
irccd-openrc-4.0.3-r0.apk | 1840 | 2024-Oct-25 19:07 |
yaru-schemas-23.10.0-r1.apk | 1841 | 2024-Dec-01 02:28 |
speedtest_exporter-openrc-0.3.2-r11.apk | 1841 | 2025-Jan-25 07:04 |
turnstile-openrc-0.1.10-r3.apk | 1842 | 2024-Oct-25 19:09 |
forgejo-lts-openrc-7.0.12-r0.apk | 1844 | 2025-Jan-25 07:03 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1844 | 2024-Oct-25 19:07 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1844 | 2024-Oct-25 19:07 |
py3-yosys-0.42-r0.apk | 1846 | 2024-Oct-25 19:08 |
udpt-openrc-3.1.2-r0.apk | 1848 | 2024-Oct-25 19:09 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1851 | 2024-Oct-25 19:07 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1852 | 2024-Oct-25 19:07 |
librespot-openrc-0.6.0-r0.apk | 1852 | 2024-Nov-02 00:48 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1852 | 2024-Oct-25 19:08 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1853 | 2024-Oct-25 19:07 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1854 | 2024-Oct-25 19:07 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1855 | 2024-Oct-25 19:07 |
listenbrainz-mpd-fish-completion-2.3.8-r0.apk | 1856 | 2024-Oct-25 19:07 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1857 | 2024-Oct-25 19:07 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1859 | 2024-Oct-25 19:07 |
synapse-bt-openrc-1.0-r4.apk | 1862 | 2024-Oct-25 19:08 |
upterm-server-openrc-0.14.3-r1.apk | 1863 | 2025-Jan-25 07:04 |
abuild-muon-0.3.1-r0.apk | 1864 | 2025-Feb-04 17:20 |
prometheus-bind-exporter-openrc-0.7.0-r7.apk | 1865 | 2025-Jan-25 07:04 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1866 | 2024-Oct-25 19:08 |
lizardfs-bash-completion-3.13.0-r14.apk | 1869 | 2024-Dec-03 14:30 |
eiwd-openrc-2.22-r0.apk | 1873 | 2024-Oct-25 19:07 |
materia-kde-konsole-20220823-r0.apk | 1876 | 2024-Oct-25 19:07 |
ckb-next-daemon-openrc-0.6.0-r1.apk | 1878 | 2024-Oct-25 19:06 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1880 | 2024-Oct-25 19:08 |
gearmand-openrc-1.1.21-r1.apk | 1880 | 2024-Oct-25 19:07 |
p910nd-openrc-0.97-r2.apk | 1881 | 2024-Oct-25 19:08 |
prometheus-smartctl-exporter-openrc-0.13.0-r1.apk | 1881 | 2025-Jan-25 07:04 |
ntpd-rs-openrc-1.4.0-r0.apk | 1889 | 2024-Dec-14 19:23 |
satellite-openrc-1.0.0-r24.apk | 1889 | 2025-Jan-25 07:04 |
minidyndns-openrc-1.3.0-r3.apk | 1891 | 2024-Oct-25 19:07 |
materia-dark-kde-konsole-20220823-r0.apk | 1893 | 2024-Oct-25 19:07 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1894 | 2024-Oct-25 19:08 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1894 | 2024-Nov-29 22:03 |
seaweedfs-openrc-3.80-r1.apk | 1895 | 2025-Jan-25 07:04 |
sish-openrc-2.16.1-r1.apk | 1895 | 2025-Jan-25 07:04 |
pimd-dense-openrc-2.1.0-r0.apk | 1897 | 2024-Oct-25 19:08 |
cloudflared-doc-2024.12.1-r1.apk | 1897 | 2025-Jan-25 07:03 |
debconf-bash-completion-1.5.82-r0.apk | 1897 | 2024-Oct-25 19:06 |
tuned-bash-completion-2.24.1-r1.apk | 1906 | 2025-Jan-27 15:48 |
conntracct-openrc-0.2.7-r27.apk | 1906 | 2025-Jan-25 07:03 |
pict-rs-openrc-0.5.16-r1.apk | 1917 | 2024-Oct-25 19:08 |
flowd-openrc-0.9.1-r10.apk | 1917 | 2024-Oct-25 19:07 |
libsirocco-dev-2.1.0-r2.apk | 1919 | 2024-Oct-25 19:07 |
olsrd-openrc-0.9.8-r3.apk | 1920 | 2024-Oct-25 19:08 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1921 | 2024-Oct-25 19:08 |
pgcat-openrc-1.2.0-r1.apk | 1922 | 2025-Jan-01 17:37 |
minisatip-openrc-1.3.4-r0.apk | 1924 | 2024-Oct-25 19:07 |
py3-pysequoia-pyc-0.1.20-r3.apk | 1926 | 2024-Oct-25 19:08 |
prometheus-ipmi-exporter-openrc-1.8.0-r1.apk | 1931 | 2025-Jan-25 07:04 |
xkb-switch-doc-1.8.5-r0.apk | 1931 | 2024-Oct-25 19:09 |
chasquid-openrc-1.15.0-r0.apk | 1932 | 2025-Jan-25 07:03 |
tang-openrc-15-r0.apk | 1934 | 2025-Jan-25 07:04 |
llmnrd-openrc-0.7-r1.apk | 1935 | 2024-Oct-25 19:07 |
zrepl-zsh-completion-0.6.1-r7.apk | 1936 | 2025-Jan-25 07:04 |
otrs-openrc-6.0.48-r2.apk | 1938 | 2024-Oct-25 19:08 |
icesprog-udev-0_git20240108-r1.apk | 1940 | 2024-Oct-25 19:07 |
prometheus-unbound-exporter-openrc-0.4.6-r1.apk | 1941 | 2025-Jan-25 07:04 |
empede-openrc-0.2.3-r0.apk | 1942 | 2024-Oct-25 19:07 |
mautrix-discord-openrc-0.7.2-r1.apk | 1942 | 2025-Jan-25 07:04 |
mautrix-twitter-openrc-0.2.1-r1.apk | 1945 | 2025-Jan-25 07:04 |
f_scripts-f_game-0.6-r1.apk | 1947 | 2024-Oct-25 19:07 |
mautrix-bluesky-openrc-0.1.0-r1.apk | 1947 | 2025-Jan-25 07:04 |
hwatch-zsh-completion-0.3.11-r0.apk | 1948 | 2024-Oct-25 19:07 |
turn-rs-openrc-3.3.3-r0.apk | 1948 | 2025-Jan-07 06:28 |
gortr-openrc-0.14.8-r9.apk | 1950 | 2025-Jan-25 07:03 |
maddy-openrc-0.7.1-r6.apk | 1953 | 2025-Jan-25 07:04 |
json2tsv-jaq-1.2-r0.apk | 1954 | 2024-Oct-25 19:07 |
flawz-fish-completion-0.3.0-r0.apk | 1956 | 2024-Nov-03 21:06 |
ombi-openrc-4.44.1-r0.apk | 1957 | 2024-Oct-25 19:08 |
stayrtr-openrc-0.6.1-r1.apk | 1960 | 2025-Jan-25 07:04 |
lizardfs-cgiserv-openrc-3.13.0-r14.apk | 1960 | 2024-Dec-03 14:30 |
gmcapsule-openrc-0.9.7-r0.apk | 1970 | 2025-Jan-08 19:12 |
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk | 1977 | 2024-Oct-25 19:08 |
msh-openrc-2.5.0-r8.apk | 1980 | 2025-Jan-25 07:04 |
tofutf-agent-openrc-0.10.0-r1.apk | 1982 | 2025-Jan-25 07:04 |
daktilo-fish-completion-0.6.0-r0.apk | 1983 | 2024-Oct-25 19:06 |
moosefs-cgiserv-openrc-3.0.117-r2.apk | 1985 | 2024-Oct-25 19:07 |
exercism-bash-completion-3.2.0-r8.apk | 1986 | 2025-Jan-25 07:03 |
tofutf-server-openrc-0.10.0-r1.apk | 1988 | 2025-Jan-25 07:04 |
gotify-openrc-2.5.0-r2.apk | 1989 | 2025-Jan-25 07:03 |
py3-slidge-style-parser-pyc-0.1.8-r0.apk | 1991 | 2024-Oct-25 19:08 |
bees-openrc-0.10-r2.apk | 1991 | 2024-Oct-25 19:05 |
prometheus-smokeping-prober-openrc-0.7.1-r8.apk | 1994 | 2025-Jan-25 07:04 |
sthttpd-openrc-2.27.1-r2.apk | 1995 | 2024-Oct-25 19:08 |
halp-fish-completion-0.2.0-r0.apk | 2000 | 2024-Oct-25 19:07 |
xisxwayland-doc-2-r1.apk | 2000 | 2024-Oct-25 19:09 |
ry-bash-completion-0.5.2-r1.apk | 2001 | 2024-Oct-25 19:08 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2001 | 2024-Oct-25 19:08 |
daemontools-openrc-0.76-r3.apk | 2006 | 2024-Oct-25 19:06 |
ma1sd-openrc-2.5.0-r3.apk | 2006 | 2024-Oct-25 19:07 |
alps-openrc-0_git20230807-r8.apk | 2008 | 2025-Jan-25 07:03 |
lomiri-docviewer-app-doc-3.0.4-r1.apk | 2014 | 2025-Jan-29 19:44 |
tealdeer-bash-completion-1.7.1-r0.apk | 2014 | 2024-Dec-14 18:13 |
py3-lzo-pyc-1.16-r1.apk | 2015 | 2024-Oct-25 19:08 |
perl-lv-backend-sentinel-0.006-r0.apk | 2016 | 2024-Oct-25 19:08 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2018 | 2024-Oct-25 19:07 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2019 | 2024-Oct-25 19:07 |
prowlarr-openrc-1.30.2.4939-r0.apk | 2023 | 2025-Feb-01 17:10 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2029 | 2024-Oct-25 19:08 |
sonarr-openrc-4.0.12.2823-r0.apk | 2030 | 2025-Jan-12 22:33 |
lidarr-openrc-2.9.6.4552-r0.apk | 2032 | 2025-Feb-04 17:20 |
cortex-tenant-openrc-1.15.2-r2.apk | 2033 | 2025-Jan-25 07:03 |
radarr-openrc-5.18.4.9674-r0.apk | 2034 | 2025-Feb-04 17:20 |
agate-openrc-3.3.8-r0.apk | 2034 | 2024-Oct-25 19:05 |
py3-keepalive-doc-0.5-r5.apk | 2035 | 2024-Oct-25 19:08 |
ddnrs-openrc-0.3.0-r0.apk | 2038 | 2024-Oct-25 19:06 |
spacectl-bash-completion-1.0.0-r2.apk | 2038 | 2025-Jan-25 07:04 |
geomyidae-openrc-0.34-r2.apk | 2040 | 2024-Oct-25 19:07 |
autoscan-openrc-1.4.0-r7.apk | 2040 | 2025-Jan-25 07:03 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2047 | 2024-Oct-25 19:08 |
lazymc-openrc-0.2.11-r0.apk | 2048 | 2024-Oct-25 19:07 |
docker-auth-openrc-1.12.0-r1.apk | 2050 | 2025-Jan-25 07:03 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0..> | 2051 | 2024-Oct-25 19:08 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 2051 | 2024-Oct-25 19:08 |
lomiri-indicator-network-doc-1.1.0-r0.apk | 2051 | 2025-Jan-10 10:07 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0...> | 2062 | 2024-Oct-25 19:08 |
downloader-cli-0.3.4-r1.apk | 2065 | 2024-Oct-25 19:07 |
utop-common-2.9.1-r4.apk | 2066 | 2024-Oct-25 19:09 |
wlopm-doc-0.1.0-r0.apk | 2066 | 2024-Oct-25 19:09 |
sing-box-openrc-1.11.0-r0.apk | 2067 | 2025-Feb-04 17:20 |
nzbget-openrc-24.5-r0.apk | 2068 | 2024-Dec-24 10:41 |
libuninameslist-doc-20230916-r0.apk | 2070 | 2024-Oct-25 19:07 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2073 | 2024-Oct-25 19:08 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 2073 | 2024-Oct-25 19:08 |
tailspin-fish-completion-3.0.0-r0.apk | 2083 | 2024-Oct-25 19:08 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 2084 | 2024-Oct-25 19:08 |
thelounge-openrc-4.4.3-r0.apk | 2085 | 2024-Oct-25 19:08 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2085 | 2024-Oct-25 19:08 |
yazi-bash-completion-0.4.2-r0.apk | 2087 | 2024-Dec-20 16:09 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2087 | 2024-Oct-25 19:07 |
prometheus-opnsense-exporter-openrc-0.0.5-r1.apk | 2087 | 2025-Jan-25 07:04 |
clevis-bash-completion-21-r0.apk | 2087 | 2025-Jan-25 07:03 |
atool-bash-completion-0.39.0-r4.apk | 2090 | 2024-Oct-25 19:05 |
apmpkg-fish-completion-1.5.1-r3.apk | 2092 | 2024-Oct-25 19:05 |
oauth2-proxy-openrc-7.6.0-r7.apk | 2101 | 2025-Jan-25 07:04 |
firehol-openrc-3.1.7-r2.apk | 2102 | 2024-Oct-25 19:07 |
ssh-honeypot-openrc-0.1.1-r1.apk | 2103 | 2024-Oct-25 19:08 |
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk | 2104 | 2024-Oct-25 19:07 |
dstask-bash-completion-0.26-r10.apk | 2106 | 2025-Jan-25 07:03 |
dasht-zsh-completion-2.4.0-r0.apk | 2110 | 2024-Oct-25 19:06 |
haproxy-dataplaneapi-openrc-3.0.1-r1.apk | 2111 | 2025-Jan-25 07:03 |
rezolus-openrc-2.11.1-r3.apk | 2120 | 2024-Oct-25 19:08 |
lxd-feature-scripts-5.20-r7.apk | 2120 | 2025-Jan-25 07:04 |
kondo-fish-completion-0.8-r0.apk | 2121 | 2024-Oct-25 19:07 |
kondo-bash-completion-0.8-r0.apk | 2129 | 2024-Oct-25 19:07 |
zapret-openrc-0.0.0_git20220125-r1.apk | 2131 | 2024-Oct-25 19:09 |
ytmdl-zsh-completion-2024.08.15.1-r0.apk | 2133 | 2024-Oct-25 19:09 |
exercism-zsh-completion-3.2.0-r8.apk | 2134 | 2025-Jan-25 07:03 |
perl-lv-backend-magic-0.006-r0.apk | 2139 | 2024-Oct-25 19:08 |
pithos-doc-1.6.1-r0.apk | 2142 | 2024-Oct-25 19:08 |
spampd-openrc-2.61-r1.apk | 2142 | 2024-Oct-25 19:08 |
wk-adblock-doc-0.0.4-r5.apk | 2144 | 2024-Oct-25 19:09 |
viewnior-doc-1.8-r1.apk | 2147 | 2024-Oct-25 19:09 |
cherrytree-doc-1.2.0-r3.apk | 2154 | 2024-Dec-18 12:24 |
fildesh-doc-0.2.0-r0.apk | 2154 | 2024-Oct-25 19:07 |
adguardhome-openrc-0.107.56-r0.apk | 2155 | 2025-Jan-25 07:03 |
listenbrainz-mpd-bash-completion-2.3.8-r0.apk | 2157 | 2024-Oct-25 19:07 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2157 | 2024-Oct-25 19:08 |
yazi-zsh-completion-0.4.2-r0.apk | 2161 | 2024-Dec-20 16:09 |
crosstool-ng-bash-completion-1.27.0-r0.apk | 2164 | 2025-Feb-06 04:42 |
flawz-bash-completion-0.3.0-r0.apk | 2166 | 2024-Nov-03 21:06 |
f_scripts-f_maps-0.6-r1.apk | 2166 | 2024-Oct-25 19:07 |
hitide-openrc-0.15.0-r0.apk | 2166 | 2024-Oct-25 19:07 |
qtpass-doc-1.4.0-r0.apk | 2169 | 2024-Oct-25 19:08 |
xandikos-openrc-0.2.12-r1.apk | 2184 | 2024-Nov-25 05:20 |
mdnsd-openrc-0.12-r1.apk | 2187 | 2024-Oct-25 19:07 |
py3-pypubsub-doc-4.0.3-r0.apk | 2189 | 2024-Oct-25 19:08 |
mdcat-fish-completion-2.7.1-r0.apk | 2193 | 2024-Dec-14 18:04 |
ydcv-zsh-completion-0.7-r8.apk | 2206 | 2024-Oct-25 19:09 |
nano-hare-0_git20231021-r0.apk | 2206 | 2024-Oct-25 19:07 |
planner-doc-0.14.92-r1.apk | 2207 | 2024-Dec-08 21:36 |
apmpkg-bash-completion-1.5.1-r3.apk | 2214 | 2024-Oct-25 19:05 |
soundfont-vintage-dreams-waves-doc-2.1-r2.apk | 2216 | 2024-Oct-25 19:08 |
daktilo-bash-completion-0.6.0-r0.apk | 2217 | 2024-Oct-25 19:06 |
fbcur-doc-1.0.1-r1.apk | 2220 | 2024-Oct-25 19:07 |
greetd-mini-wl-greeter-bash-completion-0_git2023..> | 2221 | 2024-Oct-25 19:07 |
ruby-notify-doc-0.5.2-r0.apk | 2222 | 2024-Oct-25 19:08 |
jaq-doc-2.1.0-r0.apk | 2227 | 2025-Feb-05 22:08 |
halp-bash-completion-0.2.0-r0.apk | 2227 | 2024-Oct-25 19:07 |
libideviceactivation-doc-1.1.1-r5.apk | 2229 | 2024-Oct-30 22:44 |
fyi-fish-completion-1.0.4-r0.apk | 2233 | 2024-Oct-25 19:07 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2237 | 2024-Oct-25 19:08 |
hddfancontrol-openrc-1.6.2-r0.apk | 2240 | 2024-Oct-25 19:07 |
webhookd-openrc-1.20.1-r0.apk | 2240 | 2025-Jan-30 17:01 |
flare-game-1.14-r0.apk | 2240 | 2024-Oct-25 19:07 |
ruby-docile-doc-1.4.1-r0.apk | 2242 | 2024-Oct-25 19:08 |
exabgp-openrc-4.2.22-r0.apk | 2243 | 2024-Nov-30 10:47 |
porla-doc-0.41.0-r1.apk | 2243 | 2024-Dec-05 20:55 |
lefthook-doc-1.8.5-r1.apk | 2244 | 2025-Jan-25 07:04 |
py3-uacme-desec-doc-1.2.1-r0.apk | 2245 | 2024-Oct-25 19:08 |
gost-doc-2.12.0-r1.apk | 2245 | 2025-Jan-25 07:03 |
helmfile-doc-0.170.1-r0.apk | 2246 | 2025-Feb-05 21:58 |
yazi-doc-0.4.2-r0.apk | 2246 | 2024-Dec-20 16:09 |
helm-ls-doc-0.0.12-r5.apk | 2246 | 2025-Jan-25 07:03 |
gmid-openrc-2.1.1-r0.apk | 2247 | 2024-Nov-27 19:26 |
tmpl-doc-0.4.0-r7.apk | 2248 | 2025-Jan-25 07:04 |
mint-themes-2.1.1-r0.apk | 2248 | 2024-Oct-25 19:07 |
whatsie-doc-4.16.3-r0.apk | 2249 | 2025-Jan-12 13:00 |
wf-shell-doc-0.8.1-r0.apk | 2249 | 2024-Oct-25 19:09 |
ruby-simplecov-html-doc-0.13.1-r0.apk | 2250 | 2024-Oct-25 19:08 |
tree-sitter-nix-doc-0.0.2-r0.apk | 2250 | 2025-Feb-04 17:20 |
tree-sitter-xml-doc-0.7.0-r0.apk | 2250 | 2024-Nov-14 13:10 |
qt-jdenticon-doc-0.3.1-r0.apk | 2251 | 2024-Oct-25 19:08 |
imgdiff-doc-1.0.2-r22.apk | 2252 | 2025-Jan-25 07:03 |
webhookd-doc-1.20.1-r0.apk | 2252 | 2025-Jan-30 17:01 |
tree-sitter-markdown-doc-0.3.2-r0.apk | 2253 | 2024-Oct-25 19:08 |
hurl-bash-completion-6.0.0-r0.apk | 2253 | 2024-Dec-07 20:18 |
mdcat-bash-completion-2.7.1-r0.apk | 2253 | 2024-Dec-14 18:04 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 2254 | 2024-Oct-25 19:08 |
ddgr-bash-completion-2.2-r0.apk | 2254 | 2024-Oct-25 19:06 |
tailspin-bash-completion-3.0.0-r0.apk | 2259 | 2024-Oct-25 19:08 |
dbmate-doc-2.16.0-r1.apk | 2259 | 2025-Jan-25 07:03 |
goshs-doc-1.0.1-r1.apk | 2260 | 2025-Jan-25 07:03 |
tfupdate-doc-0.8.2-r2.apk | 2261 | 2025-Jan-25 07:04 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2262 | 2024-Oct-25 19:08 |
tealdeer-fish-completion-1.7.1-r0.apk | 2265 | 2024-Dec-14 18:13 |
copyq-bash-completion-9.1.0-r1.apk | 2267 | 2024-Dec-12 17:44 |
gx-go-doc-1.9.0-r28.apk | 2267 | 2025-Jan-25 07:03 |
gx-doc-0.14.3-r26.apk | 2270 | 2025-Jan-25 07:03 |
gamja-doc-1.0.0_beta9-r0.apk | 2271 | 2024-Oct-25 19:07 |
rio-doc-0.2.5-r0.apk | 2271 | 2025-Feb-04 17:20 |
wpa_actiond-openrc-1.4-r7.apk | 2271 | 2024-Oct-25 19:09 |
neocmakelsp-fish-completion-0.8.14-r0.apk | 2274 | 2025-Jan-12 13:22 |
rustdesk-server-openrc-1.1.10.3-r0.apk | 2275 | 2024-Oct-25 19:08 |
httpx-doc-1.6.10-r0.apk | 2277 | 2025-Jan-28 22:19 |
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk | 2277 | 2024-Oct-25 19:08 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2278 | 2024-Oct-25 19:08 |
flawz-zsh-completion-0.3.0-r0.apk | 2279 | 2024-Nov-03 21:06 |
dnscontrol-doc-4.16.0-r0.apk | 2281 | 2025-Feb-06 22:58 |
ergo-ldap-doc-0.0.1-r13.apk | 2281 | 2025-Jan-25 07:03 |
gtk4-layer-shell-doc-1.1.0-r0.apk | 2281 | 2025-Feb-01 17:06 |
svls-doc-0.2.12-r0.apk | 2282 | 2024-Oct-25 19:08 |
spacectl-doc-1.0.0-r2.apk | 2283 | 2025-Jan-25 07:04 |
py3-flake8-todo-pyc-0.7-r7.apk | 2286 | 2024-Oct-25 19:08 |
tabby-doc-3.1-r1.apk | 2287 | 2024-Oct-25 19:08 |
stardict-doc-3.0.6-r6.apk | 2290 | 2024-Oct-25 19:08 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2290 | 2024-Oct-25 19:08 |
tree-sitter-scheme-doc-0.23.0-r0.apk | 2291 | 2024-Oct-25 19:08 |
hyx-doc-2024.02.29-r0.apk | 2292 | 2024-Oct-25 19:07 |
ytmdl-bash-completion-2024.08.15.1-r0.apk | 2292 | 2024-Oct-25 19:09 |
atools-go-doc-0.2.1-r2.apk | 2293 | 2025-Jan-25 07:03 |
motion-openrc-4.7.0-r0.apk | 2302 | 2024-Oct-25 19:07 |
ruby-simplecov-cobertura-2.1.0-r0.apk | 2302 | 2024-Oct-25 19:08 |
py3-deluge-client-doc-1.10.2-r0.apk | 2308 | 2024-Oct-25 19:08 |
drogon-doc-1.9.4-r1.apk | 2310 | 2024-Oct-25 19:07 |
py3-python-logstash-doc-0.4.8-r4.apk | 2311 | 2024-Oct-25 19:08 |
ry-zsh-completion-0.5.2-r1.apk | 2312 | 2024-Oct-25 19:08 |
mml-fish-completion-1.0.0-r0.apk | 2313 | 2024-Oct-25 19:07 |
slurm-doc-0.4.4-r0.apk | 2314 | 2024-Oct-25 19:08 |
sentinel-proxy-openrc-2.1.0-r0.apk | 2316 | 2024-Oct-25 19:08 |
dufs-bash-completion-0.43.0-r0.apk | 2319 | 2025-Jan-25 07:03 |
empede-doc-0.2.3-r0.apk | 2320 | 2024-Oct-25 19:07 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2320 | 2024-Oct-25 19:08 |
daktilo-zsh-completion-0.6.0-r0.apk | 2322 | 2024-Oct-25 19:06 |
powerstat-bash-completion-0.04.01-r0.apk | 2322 | 2024-Oct-25 19:08 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2322 | 2024-Oct-25 19:09 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2324 | 2024-Oct-25 19:08 |
innernet-openrc-1.6.1-r0.apk | 2328 | 2024-Oct-25 19:07 |
ouch-bash-completion-0.5.1-r0.apk | 2328 | 2024-Oct-25 19:08 |
faultstat-bash-completion-0.01.11-r0.apk | 2330 | 2024-Oct-25 19:07 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2332 | 2024-Oct-25 19:06 |
pnmixer-doc-0.7.2-r3.apk | 2332 | 2024-Oct-25 19:08 |
ddgr-fish-completion-2.2-r0.apk | 2332 | 2024-Oct-25 19:06 |
perl-promise-es6-future-0.28-r0.apk | 2337 | 2024-Oct-25 19:08 |
mml-bash-completion-1.0.0-r0.apk | 2338 | 2024-Oct-25 19:07 |
eludris-doc-0.3.3-r1.apk | 2342 | 2024-Oct-25 19:07 |
vectoroids-doc-1.1.0-r2.apk | 2347 | 2024-Oct-25 19:09 |
theme.sh-doc-1.1.5-r0.apk | 2352 | 2024-Oct-25 19:08 |
thelounge-doc-4.4.3-r0.apk | 2355 | 2024-Oct-25 19:08 |
bird3-openrc-3.0.0-r0.apk | 2357 | 2024-Dec-20 17:10 |
neocmakelsp-bash-completion-0.8.14-r0.apk | 2358 | 2025-Jan-12 13:22 |
dublin-traceroute-doc-0.4.2-r4.apk | 2358 | 2024-Oct-25 19:07 |
bgs-doc-0.8-r1.apk | 2359 | 2024-Oct-25 19:05 |
bootterm-dbg-0.5-r0.apk | 2362 | 2024-Oct-25 19:06 |
php81-pecl-imagick-dev-3.7.0-r5.apk | 2362 | 2024-Oct-25 19:08 |
httpie-oauth-pyc-1.0.2-r9.apk | 2366 | 2024-Oct-25 19:07 |
rpicam-apps-doc-1.5.3-r0.apk | 2368 | 2025-Jan-25 07:04 |
slidge-openrc-0.1.3-r0.apk | 2368 | 2024-Oct-25 19:08 |
libtins-doc-4.5-r1.apk | 2374 | 2024-Oct-25 19:07 |
tealdeer-zsh-completion-1.7.1-r0.apk | 2375 | 2024-Dec-14 18:13 |
mint-x-theme-2.1.1-r0.apk | 2383 | 2024-Oct-25 19:07 |
cargo-flamegraph-bash-completion-0.6.7-r0.apk | 2385 | 2025-Jan-13 11:49 |
json2tsv-jaq-doc-1.2-r0.apk | 2386 | 2024-Oct-25 19:07 |
maddy-doc-0.7.1-r6.apk | 2386 | 2025-Jan-25 07:04 |
mpdris2-lang-0.9.1-r3.apk | 2390 | 2024-Oct-25 19:07 |
river-shifttags-doc-0.2.1-r0.apk | 2393 | 2024-Oct-25 19:08 |
qucs-s-doc-1.1.0-r1.apk | 2395 | 2024-Oct-25 19:08 |
perl-dns-unbound-anyevent-0.29-r1.apk | 2395 | 2024-Oct-25 19:08 |
ifuse-doc-1.1.4-r5.apk | 2397 | 2024-Oct-30 22:44 |
tonutils-reverse-proxy-doc-0.3.3-r1.apk | 2398 | 2025-Jan-25 07:04 |
exercism-fish-completion-3.2.0-r8.apk | 2401 | 2025-Jan-25 07:03 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2402 | 2024-Oct-25 19:08 |
ouch-fish-completion-0.5.1-r0.apk | 2403 | 2024-Oct-25 19:08 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2404 | 2024-Oct-25 19:08 |
dufs-fish-completion-0.43.0-r0.apk | 2405 | 2025-Jan-25 07:03 |
gliderlabs-sigil-doc-0.11.0-r1.apk | 2416 | 2025-Jan-25 07:03 |
lxd-feature-openrc-5.20-r7.apk | 2420 | 2025-Jan-25 07:04 |
xandikos-doc-0.2.12-r1.apk | 2420 | 2024-Nov-25 05:20 |
pongoos-loader-0_git20210704-r1.apk | 2427 | 2024-Oct-25 19:08 |
gaupol-doc-1.12-r2.apk | 2428 | 2024-Oct-25 19:07 |
py3-logfury-doc-1.0.1-r0.apk | 2440 | 2024-Oct-25 19:08 |
f_scripts-f_timer-0.6-r1.apk | 2441 | 2024-Oct-25 19:07 |
clipit-doc-1.4.5-r3.apk | 2442 | 2024-Oct-25 19:06 |
ptpd-openrc-2.3.1-r1.apk | 2443 | 2024-Oct-25 19:08 |
btfs-doc-2.24-r12.apk | 2444 | 2024-Oct-25 19:06 |
openwsman-doc-2.7.2-r5.apk | 2446 | 2024-Oct-25 19:08 |
maxima-bash-completion-5.47.0-r8.apk | 2449 | 2024-Oct-25 19:07 |
lottieconverter-doc-0.2_git20231219-r0.apk | 2449 | 2024-Oct-25 19:07 |
ocp-indent-vim-1.8.2-r2.apk | 2452 | 2024-Oct-25 19:08 |
endlessh-doc-1.1-r0.apk | 2454 | 2024-Oct-25 19:07 |
py3-mnemonic-doc-0.21-r0.apk | 2458 | 2024-Oct-25 19:08 |
ghq-zsh-completion-1.7.1-r1.apk | 2460 | 2025-Jan-25 07:03 |
otrs-bash-completion-6.0.48-r2.apk | 2461 | 2024-Oct-25 19:08 |
halp-zsh-completion-0.2.0-r0.apk | 2464 | 2024-Oct-25 19:07 |
policycoreutils-bash-completion-3.6-r1.apk | 2467 | 2024-Oct-25 19:08 |
perl-dns-unbound-ioasync-0.29-r1.apk | 2474 | 2024-Oct-25 19:08 |
ghq-fish-completion-1.7.1-r1.apk | 2475 | 2025-Jan-25 07:03 |
apmpkg-zsh-completion-1.5.1-r3.apk | 2478 | 2024-Oct-25 19:05 |
somebar-doc-1.0.3-r0.apk | 2481 | 2024-Oct-25 19:08 |
kondo-zsh-completion-0.8-r0.apk | 2494 | 2024-Oct-25 19:07 |
tailspin-zsh-completion-3.0.0-r0.apk | 2499 | 2024-Oct-25 19:08 |
mdcat-zsh-completion-2.7.1-r0.apk | 2505 | 2024-Dec-14 18:04 |
py3-flask-headers-pyc-1.0-r9.apk | 2511 | 2024-Oct-25 19:08 |
ideviceinstaller-doc-1.1.1-r4.apk | 2514 | 2024-Oct-30 22:44 |
neocmakelsp-zsh-completion-0.8.14-r0.apk | 2520 | 2025-Jan-12 13:22 |
lsdvd-doc-0.17-r0.apk | 2521 | 2024-Oct-25 19:07 |
libcotp-dev-3.1.0-r0.apk | 2525 | 2024-Oct-25 19:07 |
flare-engine-doc-1.14-r0.apk | 2528 | 2024-Oct-25 19:07 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2531 | 2024-Oct-25 19:08 |
beard-doc-0.4-r0.apk | 2537 | 2024-Oct-25 19:05 |
frescobaldi-doc-3.3.0-r1.apk | 2539 | 2024-Oct-25 19:07 |
xfce4-mixer-doc-4.18.1-r2.apk | 2541 | 2024-Oct-25 19:09 |
xlhtml-doc-0.5.1-r0.apk | 2542 | 2024-Oct-25 19:09 |
steamguard-cli-bash-completion-0.9.6-r0.apk | 2547 | 2024-Oct-25 19:08 |
perl-promise-es6-anyevent-0.28-r0.apk | 2547 | 2024-Oct-25 19:08 |
mktorrent-borg-doc-0.9.9-r1.apk | 2548 | 2024-Oct-25 19:07 |
bwrap-oci-doc-0.2-r1.apk | 2549 | 2024-Oct-25 19:06 |
freshrss-openrc-1.23.1-r1.apk | 2559 | 2024-Oct-25 19:07 |
perl-plack-middleware-removeredundantbody-0.09-r..> | 2565 | 2024-Dec-27 19:25 |
cargo-flamegraph-fish-completion-0.6.7-r0.apk | 2565 | 2025-Jan-13 11:49 |
xendmail-doc-0.4.3-r0.apk | 2565 | 2024-Oct-25 19:09 |
nicotine-plus-doc-3.3.7-r0.apk | 2570 | 2024-Dec-16 14:21 |
perl-datetime-timezone-alias-0.06-r0.apk | 2574 | 2024-Oct-25 19:08 |
hyfetch-zsh-completion-1.99.0-r1.apk | 2578 | 2024-Oct-25 19:07 |
py3-eradicate-doc-2.3.0-r2.apk | 2583 | 2024-Oct-25 19:08 |
pully-1.0.0-r0.apk | 2586 | 2024-Oct-25 19:08 |
stw-doc-0.3-r0.apk | 2589 | 2024-Oct-25 19:08 |
f_scripts-f_rss-0.6-r1.apk | 2594 | 2024-Oct-25 19:07 |
alarmwakeup-dev-0.2.1-r0.apk | 2595 | 2024-Oct-25 19:05 |
idevicerestore-doc-1.0.0-r4.apk | 2596 | 2024-Oct-30 22:44 |
py3-igraph-dev-0.11.8-r0.apk | 2598 | 2024-Nov-24 05:46 |
xgalaga-doc-2.1.1.0-r1.apk | 2609 | 2024-Oct-25 19:09 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2622 | 2024-Oct-25 19:08 |
ustream-ssl-dev-20220116-r1.apk | 2626 | 2024-Oct-25 19:09 |
f_scripts-f_theme-0.6-r1.apk | 2627 | 2024-Oct-25 19:07 |
tup-vim-0.7.11-r0.apk | 2635 | 2024-Oct-25 19:09 |
sentinel-minipot-openrc-2.3.0-r1.apk | 2637 | 2024-Oct-25 19:08 |
ol-doc-2.4-r0.apk | 2638 | 2024-Oct-25 19:08 |
flann-doc-1.9.2-r0.apk | 2650 | 2024-Oct-25 19:07 |
scooper-doc-1.3-r1.apk | 2650 | 2024-Oct-25 19:08 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2651 | 2024-Oct-25 19:08 |
faust-vim-2.60.3-r2.apk | 2658 | 2024-Oct-25 19:07 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2662 | 2024-Oct-25 19:08 |
lomiri-terminal-app-doc-2.0.3-r0.apk | 2665 | 2024-Nov-12 17:18 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2667 | 2024-Oct-25 19:07 |
xcompmgr-doc-1.1.9-r0.apk | 2671 | 2024-Oct-25 19:09 |
rsstail-doc-2.1-r1.apk | 2672 | 2024-Oct-25 19:08 |
scratch-doc-1.4.0.7-r1.apk | 2672 | 2024-Oct-25 19:08 |
trantor-doc-1.5.18-r0.apk | 2673 | 2024-Oct-25 19:08 |
lxappearance-doc-0.6.3-r3.apk | 2675 | 2024-Oct-25 19:07 |
tremc-doc-0.9.3-r0.apk | 2682 | 2024-Oct-25 19:08 |
py3-print-color-doc-0.4.6-r0.apk | 2682 | 2024-Oct-25 19:08 |
py3-visitor-pyc-0.1.3-r7.apk | 2685 | 2024-Oct-25 19:08 |
xsoldier-doc-1.8-r2.apk | 2686 | 2024-Oct-25 19:09 |
virtme-ng-bash-completion-1.32-r1.apk | 2689 | 2025-Jan-25 15:54 |
mpop-vim-1.4.21-r0.apk | 2691 | 2025-Jan-14 05:15 |
nsnake-doc-3.0.0-r0.apk | 2692 | 2024-Oct-25 19:07 |
py3-luhn-pyc-0.2.0-r9.apk | 2695 | 2024-Oct-25 19:08 |
kirc-doc-0.3.2-r0.apk | 2698 | 2024-Oct-25 19:07 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2698 | 2024-Oct-25 19:08 |
sxcs-doc-1.1.0-r0.apk | 2699 | 2024-Oct-25 19:08 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2702 | 2024-Oct-25 19:08 |
jami-qt-doc-20230925-r0.apk | 2714 | 2024-Oct-25 19:07 |
rook-getattr-0.2.0-r2.apk | 2718 | 2025-Jan-25 07:04 |
dufs-zsh-completion-0.43.0-r0.apk | 2720 | 2025-Jan-25 07:03 |
wch-isp-doc-0.4.1-r2.apk | 2720 | 2024-Oct-25 19:09 |
mame-common-0.251-r0.apk | 2722 | 2024-Oct-25 19:07 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2725 | 2024-Oct-25 19:08 |
colormake-doc-0.9.20170221-r0.apk | 2729 | 2024-Oct-25 19:06 |
tree-sitter-vim-doc-0.4.0-r0.apk | 2730 | 2025-Jan-10 18:41 |
ddgr-zsh-completion-2.2-r0.apk | 2737 | 2024-Oct-25 19:06 |
perl-dns-unbound-mojo-0.29-r1.apk | 2740 | 2024-Oct-25 19:08 |
tailspin-doc-3.0.0-r0.apk | 2742 | 2024-Oct-25 19:08 |
pomo-doc-0.8.1-r19.apk | 2745 | 2025-Jan-25 07:04 |
porla-openrc-0.41.0-r1.apk | 2747 | 2024-Dec-05 20:55 |
perl-uri-tcp-2.0.0-r0.apk | 2750 | 2024-Oct-25 19:08 |
perl-template-plugin-csv-0.04-r3.apk | 2752 | 2024-Oct-25 19:08 |
py3-unidns-examples-0.0.1-r2.apk | 2758 | 2024-Oct-25 19:08 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2767 | 2024-Oct-25 19:08 |
libnih-doc-1.0.3-r7.apk | 2771 | 2024-Oct-25 19:07 |
prosody-mod-register_redirect-0.11_hg20201208-r0..> | 2772 | 2024-Oct-25 19:08 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2775 | 2024-Oct-25 19:08 |
ip2location-doc-8.6.1-r0.apk | 2776 | 2024-Oct-25 19:07 |
passage-fish-completion-1.7.4_alpha2-r0.apk | 2778 | 2024-Dec-24 11:03 |
h4h5tools-doc-2.2.5-r4.apk | 2789 | 2024-Oct-25 19:07 |
fzy-doc-1.0-r3.apk | 2790 | 2024-Oct-25 19:07 |
quodlibet-zsh-completion-4.6.0-r1.apk | 2795 | 2024-Oct-25 19:08 |
b2sum-doc-20190729-r2.apk | 2798 | 2024-Oct-25 19:05 |
nb-fish-completion-7.15.1-r0.apk | 2803 | 2025-Feb-04 17:20 |
wayfire-doc-0.8.1-r1.apk | 2812 | 2024-Oct-25 19:09 |
perl-time-moment-role-strptime-0.001-r0.apk | 2814 | 2024-Oct-25 19:08 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2821 | 2024-Oct-25 19:06 |
apulse-doc-0.1.13-r2.apk | 2824 | 2024-Oct-25 19:05 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2829 | 2024-Oct-25 19:05 |
lfm-doc-3.1-r4.apk | 2829 | 2024-Oct-25 19:07 |
py3-utc-pyc-0.0.3-r9.apk | 2829 | 2024-Oct-25 19:08 |
timew-bash-completion-1.4.3-r1.apk | 2832 | 2024-Oct-25 19:08 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2841 | 2024-Oct-25 19:08 |
mangr0ve-0.1.2-r0.apk | 2851 | 2024-Oct-25 19:07 |
gatling-openrc-0.16-r6.apk | 2851 | 2024-Oct-25 19:07 |
py3-pytap2-doc-2.3.0-r0.apk | 2861 | 2024-Oct-25 19:08 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2862 | 2024-Oct-25 19:07 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2866 | 2024-Oct-25 19:08 |
f_scripts-f_youtube-0.6-r1.apk | 2872 | 2024-Oct-25 19:07 |
66-tools-nsrules-0.1.1.0-r0.apk | 2872 | 2025-Jan-12 13:00 |
hunspell-es-ar-doc-2.7-r0.apk | 2879 | 2024-Oct-25 19:07 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2881 | 2024-Oct-25 19:08 |
lomiri-location-service-doc-3.2.0-r0.apk | 2882 | 2025-Jan-26 23:22 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2882 | 2024-Oct-25 19:08 |
chim-doc-1.1.2-r1.apk | 2884 | 2024-Oct-25 19:06 |
logtop-doc-0.7-r0.apk | 2884 | 2024-Oct-25 19:07 |
mml-zsh-completion-1.0.0-r0.apk | 2888 | 2024-Oct-25 19:07 |
git-extras-bash-completion-7.3.0-r0.apk | 2892 | 2024-Nov-20 02:43 |
py3-flask-gzip-pyc-0.2-r8.apk | 2896 | 2024-Oct-25 19:08 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2898 | 2024-Oct-25 19:08 |
reredirect-doc-0.3-r0.apk | 2908 | 2024-Oct-25 19:08 |
perl-rxperl-mojo-6.8.2-r0.apk | 2909 | 2024-Oct-25 19:08 |
xcape-doc-1.2-r0.apk | 2909 | 2024-Oct-25 19:09 |
ouch-zsh-completion-0.5.1-r0.apk | 2909 | 2024-Oct-25 19:08 |
zsh-manydots-magic-0_git20230607-r1.apk | 2910 | 2024-Oct-25 19:09 |
sacc-doc-1.07-r0.apk | 2911 | 2024-Oct-25 19:08 |
py3-timeago-doc-1.0.16-r0.apk | 2916 | 2024-Oct-25 19:08 |
pounce-openrc-3.1-r3.apk | 2916 | 2024-Oct-25 19:08 |
coccinelle-bash-completion-1.1.1-r2.apk | 2922 | 2024-Oct-25 19:06 |
gpa-doc-0.10.0-r2.apk | 2922 | 2024-Oct-25 19:07 |
noggin-doc-0.1-r13.apk | 2924 | 2025-Jan-25 07:04 |
cargo-flamegraph-zsh-completion-0.6.7-r0.apk | 2925 | 2025-Jan-13 11:49 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2931 | 2024-Oct-25 19:07 |
makedumpfile-openrc-1.7.6-r0.apk | 2940 | 2024-Oct-28 04:12 |
py3-pytaglib-pyc-3.0.0-r0.apk | 2941 | 2025-Jan-26 19:29 |
ptyxis-doc-47.8-r0.apk | 2943 | 2025-Jan-28 22:24 |
pxmenu-1.0.0-r1.apk | 2947 | 2024-Oct-25 19:08 |
git-quick-stats-doc-2.5.8-r0.apk | 2948 | 2024-Oct-25 19:07 |
desed-doc-1.2.1-r1.apk | 2949 | 2024-Oct-25 19:06 |
pxalarm-3.0.0-r0.apk | 2949 | 2024-Oct-25 19:08 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2950 | 2024-Oct-25 19:06 |
mpdcron-zsh-completion-0.3-r1.apk | 2953 | 2024-Oct-25 19:07 |
pamtester-doc-0.1.2-r4.apk | 2955 | 2024-Oct-25 19:08 |
nb-zsh-completion-7.15.1-r0.apk | 2959 | 2025-Feb-04 17:20 |
prosody-mod-http_upload_external-0.11_hg20201208..> | 2961 | 2024-Oct-25 19:08 |
f_scripts-f_web-0.6-r1.apk | 2965 | 2024-Oct-25 19:07 |
nkk-dev-0_git20221010-r0.apk | 2969 | 2024-Oct-25 19:07 |
rke-doc-1.4.3-r11.apk | 2971 | 2025-Jan-25 07:04 |
restic.mk-0.4.0-r0.apk | 2981 | 2024-Oct-25 19:08 |
libvisio2svg-dev-0.5.5-r3.apk | 2989 | 2024-Oct-25 19:07 |
rankwidth-dev-0.9-r3.apk | 2989 | 2024-Oct-25 19:08 |
vcsh-bash-completion-2.0.5-r0.apk | 2992 | 2024-Oct-25 19:09 |
nb-bash-completion-7.15.1-r0.apk | 2997 | 2025-Feb-04 17:20 |
f_scripts-f_files-0.6-r1.apk | 2997 | 2024-Oct-25 19:07 |
vcsh-zsh-completion-2.0.5-r0.apk | 3001 | 2024-Oct-25 19:09 |
remake-dev-1.5-r1.apk | 3002 | 2024-Oct-25 19:08 |
usbmuxd-doc-1.1.1-r8.apk | 3006 | 2024-Oct-30 22:44 |
wl-clipboard-x11-doc-5-r3.apk | 3006 | 2024-Oct-25 19:09 |
perl-promise-es6-io-async-0.28-r0.apk | 3014 | 2024-Oct-25 19:08 |
perl-cache-lru-0.04-r0.apk | 3015 | 2024-Oct-25 19:08 |
perl-cairo-gobject-doc-1.005-r4.apk | 3017 | 2024-Oct-25 19:08 |
rkdeveloptool-doc-1.1.0-r1.apk | 3024 | 2024-Oct-25 19:08 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 3026 | 2024-Oct-25 19:08 |
lynis-bash-completion-3.1.1-r0.apk | 3026 | 2024-Oct-25 19:07 |
passage-bash-completion-1.7.4_alpha2-r0.apk | 3026 | 2024-Dec-24 11:03 |
libabigail-bash-completion-2.3-r0.apk | 3037 | 2024-Oct-25 19:07 |
passage-zsh-completion-1.7.4_alpha2-r0.apk | 3039 | 2024-Dec-24 11:03 |
lowjs-doc-1.6.2-r2.apk | 3040 | 2024-Oct-25 19:07 |
gtklock-doc-4.0.0-r0.apk | 3040 | 2025-Feb-01 17:06 |
satellite-doc-1.0.0-r24.apk | 3044 | 2025-Jan-25 07:04 |
p910nd-doc-0.97-r2.apk | 3055 | 2024-Oct-25 19:08 |
bchunk-doc-1.2.2-r3.apk | 3064 | 2024-Oct-25 19:05 |
hatop-doc-0.8.2-r0.apk | 3067 | 2024-Oct-25 19:07 |
qgis-doc-3.34.15-r0.apk | 3067 | 2025-Jan-25 07:04 |
pokoy-doc-0.2.5-r0.apk | 3067 | 2024-Oct-25 19:08 |
ansiweather-doc-1.19.0-r1.apk | 3075 | 2024-Oct-25 19:05 |
perl-linux-pid-doc-0.04-r13.apk | 3075 | 2024-Oct-25 19:08 |
f_scripts-f_networks-0.6-r1.apk | 3076 | 2024-Oct-25 19:07 |
rankwidth-doc-0.9-r3.apk | 3079 | 2024-Oct-25 19:08 |
perl-template-plugin-csv-doc-0.04-r3.apk | 3079 | 2024-Oct-25 19:08 |
pipectl-doc-0.4.1-r1.apk | 3081 | 2024-Oct-25 19:08 |
spacer-doc-0.3.0-r0.apk | 3082 | 2024-Dec-24 22:51 |
faultstat-doc-0.01.11-r0.apk | 3085 | 2024-Oct-25 19:07 |
geonames-dev-0.3.1-r2.apk | 3088 | 2024-Oct-25 19:07 |
hwatch-doc-0.3.11-r0.apk | 3089 | 2024-Oct-25 19:07 |
libctl-doc-4.5.1-r1.apk | 3090 | 2024-Oct-25 19:07 |
opendht-doc-3.1.11-r0.apk | 3095 | 2025-Jan-27 20:56 |
pastel-bash-completion-0.10.0-r0.apk | 3097 | 2024-Oct-25 19:08 |
apmpkg-doc-1.5.1-r3.apk | 3099 | 2024-Oct-25 19:05 |
gdb-dashboard-doc-0.17.3-r0.apk | 3101 | 2024-Dec-24 22:53 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3104 | 2024-Oct-25 19:08 |
llmnrd-doc-0.7-r1.apk | 3109 | 2024-Oct-25 19:07 |
ocp-index-vim-1.3.6-r0.apk | 3109 | 2024-Oct-25 19:08 |
cocogitto-zsh-completion-6.2.0-r1.apk | 3110 | 2024-Dec-12 21:08 |
cocogitto-bash-completion-6.2.0-r1.apk | 3113 | 2024-Dec-12 21:08 |
py3-compdb-doc-0.2.0-r8.apk | 3115 | 2024-Oct-25 19:08 |
snapper-bash-completion-0.12.0-r0.apk | 3120 | 2024-Nov-20 02:43 |
snore-doc-0.3.1-r0.apk | 3121 | 2024-Oct-25 19:08 |
perl-perlio-locale-doc-0.10-r12.apk | 3121 | 2024-Oct-25 19:08 |
py3-cchardet-pyc-2.1.7-r5.apk | 3124 | 2024-Oct-25 19:08 |
vkbasalt-doc-0.3.2.10-r0.apk | 3130 | 2024-Oct-25 19:09 |
mkg3a-doc-0.5.0-r1.apk | 3130 | 2024-Oct-25 19:07 |
laze-bash-completion-0.1.29-r0.apk | 3135 | 2025-Jan-26 23:20 |
sedutil-doc-1.15.1-r1.apk | 3136 | 2024-Oct-25 19:08 |
perl-http-thin-0.006-r0.apk | 3137 | 2024-Oct-25 19:08 |
py3-log-symbols-pyc-0.0.14-r5.apk | 3141 | 2024-Oct-25 19:08 |
rpi-imager-doc-1.9.0-r0.apk | 3143 | 2024-Oct-25 19:08 |
perl-plack-middleware-fixmissingbodyinredirect-d..> | 3143 | 2024-Dec-26 09:36 |
csmith-doc-2.3.0-r2.apk | 3144 | 2024-Oct-25 19:06 |
tootik-openrc-0.15.2-r0.apk | 3147 | 2025-Feb-04 17:20 |
perl-plack-middleware-removeredundantbody-doc-0...> | 3151 | 2024-Dec-27 19:25 |
perl-plack-middleware-fixmissingbodyinredirect-0..> | 3155 | 2024-Dec-26 09:36 |
kbs2-bash-completion-0.7.2-r3.apk | 3160 | 2024-Oct-25 19:07 |
libopensmtpd-dev-0.7-r0.apk | 3163 | 2024-Oct-25 19:07 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 3164 | 2024-Oct-25 19:08 |
luksmeta-dev-9-r0.apk | 3169 | 2024-Oct-25 19:07 |
lotide-openrc-0.15.0-r0.apk | 3170 | 2024-Oct-25 19:07 |
tty-clock-doc-2.3_git20240104-r0.apk | 3170 | 2024-Oct-25 19:09 |
perl-multidimensional-doc-0.014-r0.apk | 3176 | 2024-Oct-25 19:08 |
harminv-dev-1.4.2-r1.apk | 3176 | 2024-Oct-25 19:07 |
timeshift-doc-24.06.5-r0.apk | 3178 | 2024-Dec-05 20:47 |
perl-json-maybeutf8-2.000-r0.apk | 3179 | 2024-Oct-25 19:08 |
cpufetch-doc-1.06-r0.apk | 3181 | 2024-Oct-25 19:06 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3183 | 2024-Oct-25 19:08 |
trippy-bash-completion-0.12.2-r0.apk | 3184 | 2025-Jan-04 22:29 |
memdump-doc-1.01-r1.apk | 3187 | 2024-Oct-25 19:07 |
glow-doc-2.0.0-r1.apk | 3197 | 2025-Jan-25 07:03 |
dwl-doc-0.7-r0.apk | 3199 | 2024-Oct-25 19:07 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 3203 | 2024-Oct-25 19:08 |
persistent-cache-cpp-doc-1.0.7-r3.apk | 3209 | 2025-Jan-26 23:22 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 3211 | 2024-Oct-25 19:08 |
beard-0.4-r0.apk | 3214 | 2024-Oct-25 19:05 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 3214 | 2024-Oct-25 19:08 |
today-6.2.0-r0.apk | 3215 | 2024-Oct-25 19:08 |
perl-math-libm-doc-1.00-r14.apk | 3222 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3225 | 2024-Oct-25 19:08 |
perl-datetime-format-atom-1.8.0-r0.apk | 3226 | 2025-Jan-05 15:08 |
ptylie-doc-0.2-r1.apk | 3229 | 2024-Oct-25 19:08 |
jalv-doc-1.6.8-r1.apk | 3232 | 2024-Oct-25 19:07 |
caffeine-ng-doc-4.2.0-r1.apk | 3236 | 2024-Oct-25 19:06 |
perl-uri-redis-0.02-r0.apk | 3240 | 2024-Oct-25 19:08 |
perl-string-camelcase-0.04-r2.apk | 3242 | 2024-Oct-25 19:08 |
perl-bareword-filehandles-doc-0.007-r0.apk | 3253 | 2024-Oct-25 19:08 |
perl-digest-crc-doc-0.24-r1.apk | 3257 | 2024-Oct-25 19:08 |
py3-flask-gzip-0.2-r8.apk | 3258 | 2024-Oct-25 19:08 |
uclient-dev-20210514-r0.apk | 3264 | 2024-Oct-25 19:09 |
libdng-dev-0.2.1-r0.apk | 3274 | 2024-Dec-27 22:09 |
perl-cache-lru-doc-0.04-r0.apk | 3275 | 2024-Oct-25 19:08 |
witchery-0.0.3-r2.apk | 3276 | 2024-Oct-25 19:09 |
py3-flask-headers-1.0-r9.apk | 3281 | 2024-Oct-25 19:08 |
xload-doc-1.1.4-r0.apk | 3282 | 2024-Oct-25 19:09 |
perl-flowd-doc-0.9.1-r10.apk | 3284 | 2024-Oct-25 19:08 |
kbs2-fish-completion-0.7.2-r3.apk | 3284 | 2024-Oct-25 19:07 |
nuzzle-doc-1.6-r0.apk | 3287 | 2025-Jan-25 07:04 |
py3-bottle-request-0.2.0-r9.apk | 3289 | 2024-Oct-25 19:08 |
pastel-fish-completion-0.10.0-r0.apk | 3301 | 2024-Oct-25 19:08 |
tmpmail-doc-1.2.3-r2.apk | 3302 | 2024-Oct-25 19:08 |
lxappearance-dev-0.6.3-r3.apk | 3316 | 2024-Oct-25 19:07 |
hub-fish-completion-2.14.2-r27.apk | 3321 | 2025-Jan-25 07:03 |
nitrocli-bash-completion-0.4.1-r3.apk | 3323 | 2024-Oct-25 19:07 |
perl-future-asyncawait-hooks-doc-0.02-r0.apk | 3324 | 2024-Oct-25 19:08 |
logc-libevent-0.1.0-r0.apk | 3324 | 2024-Oct-25 19:07 |
perl-variable-disposition-0.005-r0.apk | 3328 | 2024-Oct-25 19:08 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3333 | 2024-Oct-25 19:08 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3334 | 2024-Oct-25 19:07 |
py3-allfiles-pyc-1.0-r8.apk | 3343 | 2024-Oct-25 19:08 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3345 | 2024-Oct-25 19:08 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3350 | 2024-Oct-25 19:08 |
today-doc-6.2.0-r0.apk | 3352 | 2024-Oct-25 19:08 |
compiz-utils-0.9.14.2-r7.apk | 3353 | 2024-Nov-22 18:51 |
prjtrellis-db-0_git20230929-r0.apk | 3355 | 2024-Oct-25 19:08 |
fatrace-doc-0.17.0-r0.apk | 3357 | 2024-Oct-25 19:07 |
fastd-doc-23-r0.apk | 3358 | 2025-Jan-27 21:33 |
toml2json-doc-1.3.1-r0.apk | 3358 | 2024-Oct-25 19:08 |
hyfetch-bash-completion-1.99.0-r1.apk | 3359 | 2024-Oct-25 19:07 |
perl-devel-leak-doc-0.03-r13.apk | 3362 | 2024-Oct-25 19:08 |
initify-0_git20171210-r1.apk | 3363 | 2024-Oct-25 19:07 |
openocd-esp32-doc-0_git20230921-r5.apk | 3366 | 2024-Oct-25 19:08 |
openocd-riscv-doc-0_git20230104-r2.apk | 3367 | 2024-Oct-25 19:08 |
wlclock-doc-1.0.1-r0.apk | 3368 | 2024-Oct-25 19:09 |
cocogitto-fish-completion-6.2.0-r1.apk | 3370 | 2024-Dec-12 21:08 |
openocd-git-doc-0_git20240113-r1.apk | 3371 | 2024-Oct-25 19:08 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3381 | 2024-Oct-25 19:09 |
py3-bottle-redis-0.2.3-r6.apk | 3382 | 2024-Oct-25 19:08 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3384 | 2024-Oct-25 19:08 |
py3-flask-components-pyc-0.1.1-r9.apk | 3386 | 2024-Oct-25 19:08 |
sqlar-doc-0_git20180107-r1.apk | 3387 | 2024-Oct-25 19:08 |
libideviceactivation-dev-1.1.1-r5.apk | 3391 | 2024-Oct-30 22:44 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3396 | 2024-Oct-25 19:07 |
py3-piper-phonemize-pyc-2023.11.14.4-r5.apk | 3399 | 2024-Oct-25 19:08 |
ccze-dev-0.2.1-r1.apk | 3402 | 2024-Oct-25 19:06 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3403 | 2024-Oct-25 19:08 |
pick-doc-4.0.0-r0.apk | 3404 | 2024-Oct-25 19:08 |
laze-fish-completion-0.1.29-r0.apk | 3405 | 2025-Jan-26 23:20 |
py3-anyascii-pyc-0.3.2-r1.apk | 3409 | 2024-Oct-25 19:08 |
netscanner-doc-0.5.1-r1.apk | 3409 | 2024-Oct-25 19:07 |
pasystray-doc-0.8.2-r0.apk | 3411 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3412 | 2024-Oct-25 19:08 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3413 | 2024-Oct-25 19:08 |
click-doc-0.5.2-r3.apk | 3414 | 2024-Oct-25 19:06 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3415 | 2024-Oct-25 19:08 |
f_scripts-f_audio-0.6-r1.apk | 3416 | 2024-Oct-25 19:07 |
ssss-doc-0.5.7-r0.apk | 3418 | 2024-Oct-25 19:08 |
lomiri-url-dispatcher-dev-0.1.3-r2.apk | 3419 | 2024-Oct-25 19:07 |
trace-cmd-bash-completion-3.3.1-r1.apk | 3427 | 2025-Jan-25 07:04 |
solarus-engine-doc-1.7.0-r0.apk | 3428 | 2024-Oct-25 19:08 |
rio-terminfo-0.2.5-r0.apk | 3428 | 2025-Feb-04 17:20 |
zita-resampler-dev-1.10.1-r0.apk | 3438 | 2024-Oct-25 19:09 |
noice-doc-0.8-r1.apk | 3440 | 2024-Oct-25 19:07 |
rezolus-doc-2.11.1-r3.apk | 3442 | 2024-Oct-25 19:08 |
httpie-oauth-1.0.2-r9.apk | 3443 | 2024-Oct-25 19:07 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3445 | 2024-Oct-25 19:08 |
perl-ppi-xs-doc-0.910-r1.apk | 3447 | 2024-Oct-25 19:08 |
perl-string-crc32-doc-2.100-r4.apk | 3451 | 2024-Oct-25 19:08 |
perl-scalar-readonly-doc-0.03-r1.apk | 3456 | 2024-Oct-25 19:08 |
lua-fn-0.1.0-r0.apk | 3459 | 2024-Oct-25 19:07 |
pixiewps-doc-1.4.2-r1.apk | 3469 | 2024-Oct-25 19:08 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3471 | 2024-Oct-25 19:08 |
sct-2018.12.18-r1.apk | 3478 | 2024-Oct-25 19:08 |
openocd-esp32-dev-0_git20230921-r5.apk | 3480 | 2024-Oct-25 19:08 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3480 | 2024-Oct-25 19:08 |
perl-ref-util-xs-doc-0.117-r8.apk | 3481 | 2024-Oct-25 19:08 |
perl-protocol-redis-faster-0.003-r0.apk | 3488 | 2024-Oct-25 19:08 |
openocd-git-dev-0_git20240113-r1.apk | 3497 | 2024-Oct-25 19:08 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3498 | 2024-Oct-25 19:08 |
openocd-esp32-udev-rules-0_git20230921-r5.apk | 3498 | 2024-Oct-25 19:08 |
hurl-fish-completion-6.0.0-r0.apk | 3498 | 2024-Dec-07 20:18 |
wl-clipboard-x11-5-r3.apk | 3499 | 2024-Oct-25 19:09 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3501 | 2024-Oct-25 19:08 |
lzfse-dev-1.0-r0.apk | 3505 | 2024-Oct-25 19:07 |
kew-doc-3.0.3-r0.apk | 3506 | 2025-Feb-01 17:06 |
perl-net-address-ip-local-0.1.2-r0.apk | 3506 | 2024-Oct-25 19:08 |
perl-http-thin-doc-0.006-r0.apk | 3510 | 2024-Oct-25 19:08 |
ampy-doc-1.1.0-r5.apk | 3515 | 2024-Oct-25 19:05 |
perl-string-camelcase-doc-0.04-r2.apk | 3517 | 2024-Oct-25 19:08 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3522 | 2024-Oct-25 19:08 |
dfl-login1-dev-0.2.0-r0.apk | 3523 | 2024-Oct-25 19:06 |
copyq-doc-9.1.0-r1.apk | 3523 | 2024-Dec-12 17:44 |
libuninameslist-dev-20230916-r0.apk | 3529 | 2024-Oct-25 19:07 |
dumb_runtime_dir-1.0.4-r3.apk | 3531 | 2024-Oct-25 19:07 |
ijq-doc-1.1.0-r4.apk | 3544 | 2025-Jan-25 07:03 |
bcg729-dev-1.1.1-r0.apk | 3549 | 2024-Oct-25 19:05 |
py3-utc-0.0.3-r9.apk | 3555 | 2024-Oct-25 19:08 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3558 | 2024-Oct-25 19:08 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3562 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3564 | 2024-Oct-25 19:08 |
ruby-simplecov_json_formatter-0.1.4-r0.apk | 3579 | 2024-Oct-25 19:08 |
twinkle-doc-1.10.3-r3.apk | 3580 | 2025-Jan-04 21:55 |
laze-doc-0.1.29-r0.apk | 3582 | 2025-Jan-26 23:20 |
wireguard-go-doc-0.0.20230223-r8.apk | 3583 | 2025-Jan-25 07:04 |
nvtop-doc-3.1.0-r0.apk | 3587 | 2024-Oct-25 19:07 |
tldr-python-client-doc-3.3.0-r0.apk | 3591 | 2024-Dec-01 17:09 |
laze-zsh-completion-0.1.29-r0.apk | 3591 | 2025-Jan-26 23:20 |
enlighten-doc-0.9.2-r1.apk | 3595 | 2024-Oct-25 19:07 |
aptdec-dev-1.8.0-r0.apk | 3596 | 2024-Oct-25 19:05 |
tuned-profiles-compat-2.24.1-r1.apk | 3596 | 2025-Jan-27 15:48 |
snapper-zsh-completion-0.12.0-r0.apk | 3596 | 2024-Nov-20 02:43 |
extrace-doc-0.9-r0.apk | 3598 | 2024-Oct-25 19:07 |
perl-proc-guard-doc-0.07-r4.apk | 3602 | 2024-Oct-25 19:08 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3605 | 2024-Oct-25 19:07 |
perl-test-randomresult-0.001-r0.apk | 3606 | 2024-Oct-25 19:08 |
shellinabox-openrc-2.21-r3.apk | 3608 | 2024-Oct-25 19:08 |
rgxg-dev-0.1.2-r2.apk | 3609 | 2024-Oct-25 19:08 |
maddy-vim-0.7.1-r6.apk | 3611 | 2025-Jan-25 07:04 |
kabmat-doc-2.7.0-r0.apk | 3621 | 2024-Oct-25 19:07 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3622 | 2024-Oct-25 19:08 |
cutechess-doc-1.3.1-r0.apk | 3627 | 2024-Oct-25 19:06 |
ffsend-fish-completion-0.2.76-r4.apk | 3631 | 2024-Oct-25 19:07 |
steamguard-cli-zsh-completion-0.9.6-r0.apk | 3631 | 2024-Oct-25 19:08 |
tuned-ppd-2.24.1-r1.apk | 3633 | 2025-Jan-27 15:48 |
fildesh-vim-0.2.0-r0.apk | 3633 | 2024-Oct-25 19:07 |
perl-check-unitcheck-doc-0.13-r1.apk | 3639 | 2024-Oct-25 19:08 |
doasedit-1.0.7-r0.apk | 3644 | 2024-Oct-25 19:06 |
perl-time-moment-role-timezone-1.000-r0.apk | 3645 | 2024-Oct-25 19:08 |
py3-allfiles-1.0-r8.apk | 3652 | 2024-Oct-25 19:08 |
opensmtpd-filter-dkimsign-doc-0.6-r1.apk | 3652 | 2024-Oct-25 19:08 |
gsettings-qt-dev-0.2_git20220807-r1.apk | 3665 | 2024-Oct-25 19:07 |
ffsend-bash-completion-0.2.76-r4.apk | 3673 | 2024-Oct-25 19:07 |
perl-test-describeme-0.004-r0.apk | 3677 | 2024-Oct-25 19:08 |
dfl-ipc-dev-0.2.0-r0.apk | 3681 | 2024-Oct-25 19:06 |
py3-flake8-todo-0.7-r7.apk | 3682 | 2024-Oct-25 19:08 |
pacparser-dev-1.4.5-r1.apk | 3685 | 2024-Oct-25 19:08 |
perl-bind-config-parser-doc-0.01-r5.apk | 3693 | 2024-Oct-25 19:08 |
ircd-hybrid-doc-8.2.46-r0.apk | 3694 | 2025-Jan-25 07:04 |
ocaml-qtest-dev-2.11.2-r3.apk | 3700 | 2024-Oct-25 19:08 |
lua-resty-upload-0.11-r0.apk | 3703 | 2024-Oct-25 19:07 |
rattler-build-bash-completion-0.18.0-r0.apk | 3704 | 2024-Oct-25 19:08 |
opkg-utils-doc-0.7.0-r0.apk | 3706 | 2024-Oct-25 19:08 |
py3-ecos-pyc-2.0.11-r4.apk | 3718 | 2024-Oct-25 19:08 |
swappy-lang-1.5.1-r0.apk | 3728 | 2024-Oct-25 19:08 |
py3-pydes-doc-2.0.1-r5.apk | 3729 | 2024-Oct-25 19:08 |
otpclient-doc-4.0.2-r0.apk | 3731 | 2024-Oct-25 19:08 |
ouch-doc-0.5.1-r0.apk | 3731 | 2024-Oct-25 19:08 |
swig3-doc-3.0.12-r3.apk | 3737 | 2024-Oct-25 19:08 |
perl-role-eventemitter-0.003-r0.apk | 3740 | 2024-Oct-25 19:08 |
hub-zsh-completion-2.14.2-r27.apk | 3741 | 2025-Jan-25 07:03 |
pulseview-doc-0.4.2-r8.apk | 3748 | 2024-Oct-25 19:08 |
libjodycode-doc-3.1.1-r0.apk | 3753 | 2024-Oct-25 19:07 |
ticker-zsh-completion-4.8.0-r0.apk | 3755 | 2025-Feb-05 23:19 |
wok-doc-3.0.0-r6.apk | 3757 | 2024-Oct-25 19:09 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3757 | 2024-Oct-25 19:08 |
perl-proc-guard-0.07-r4.apk | 3758 | 2024-Oct-25 19:08 |
perl-test-randomresult-doc-0.001-r0.apk | 3761 | 2024-Oct-25 19:08 |
pebble-le-doc-0.3.0-r2.apk | 3769 | 2024-Dec-14 19:23 |
perl-sys-syscall-doc-0.25-r10.apk | 3775 | 2024-Oct-25 19:08 |
rook-autotype-0.2.0-r2.apk | 3781 | 2025-Jan-25 07:04 |
dropwatch-doc-1.5.4-r6.apk | 3782 | 2024-Oct-25 19:07 |
swappy-doc-1.5.1-r0.apk | 3787 | 2024-Oct-25 19:08 |
wsmancli-doc-2.6.2-r0.apk | 3787 | 2024-Oct-25 19:09 |
py3-flask-accept-pyc-0.0.6-r1.apk | 3791 | 2024-Oct-25 19:08 |
perl-b-hooks-op-check-doc-0.22-r0.apk | 3796 | 2024-Oct-25 19:08 |
mdp-doc-1.0.15-r1.apk | 3797 | 2024-Oct-25 19:07 |
ocp-indent-emacs-1.8.2-r2.apk | 3798 | 2024-Oct-25 19:08 |
rdrview-doc-0.1.2-r0.apk | 3802 | 2024-Oct-25 19:08 |
perl-sort-versions-1.62-r0.apk | 3807 | 2024-Oct-25 19:08 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3808 | 2024-Oct-25 19:08 |
rauc-service-1.10.1-r0.apk | 3809 | 2024-Oct-25 19:08 |
perl-feed-find-doc-0.13-r0.apk | 3810 | 2024-Oct-25 19:08 |
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk | 3812 | 2024-Oct-25 19:08 |
openocd-riscv-dev-0_git20230104-r2.apk | 3814 | 2024-Oct-25 19:08 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3816 | 2024-Oct-25 19:08 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3817 | 2024-Oct-25 19:08 |
hexdiff-doc-0.0.53-r2.apk | 3820 | 2024-Oct-25 19:07 |
rankwidth-static-0.9-r3.apk | 3823 | 2024-Oct-25 19:08 |
authenticator-rs-lang-0.7.5-r0.apk | 3825 | 2024-Oct-25 19:05 |
perl-term-size-doc-0.211-r4.apk | 3829 | 2024-Oct-25 19:08 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3829 | 2024-Oct-25 19:08 |
py3-infinity-pyc-1.5-r6.apk | 3832 | 2024-Oct-25 19:08 |
alarmwakeup-utils-0.2.1-r0.apk | 3833 | 2024-Oct-25 19:05 |
tuptime-doc-5.2.4-r1.apk | 3835 | 2024-Nov-28 22:44 |
gupnp-doc-1.6.8-r0.apk | 3835 | 2025-Jan-25 07:03 |
perl-module-build-prereqs-fromcpanfile-doc-0.02-..> | 3844 | 2024-Oct-25 19:08 |
hikari-unlocker-2.3.3-r6.apk | 3846 | 2024-Oct-25 19:07 |
volumeicon-lang-0.5.1-r1.apk | 3854 | 2024-Oct-25 19:09 |
perl-math-random-isaac-xs-doc-1.004-r8.apk | 3855 | 2024-Oct-25 19:08 |
perl-datetime-format-atom-doc-1.8.0-r0.apk | 3859 | 2025-Jan-05 15:08 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3861 | 2024-Oct-25 19:07 |
xisxwayland-2-r1.apk | 3863 | 2024-Oct-25 19:09 |
logc-czmq-0.1.0-r0.apk | 3864 | 2024-Oct-25 19:07 |
finger-doc-0.5-r0.apk | 3867 | 2024-Oct-25 19:07 |
libsds-dev-2.0.0-r1.apk | 3869 | 2024-Oct-25 19:07 |
perl-test2-tools-explain-0.02-r0.apk | 3883 | 2024-Oct-25 19:08 |
perl-test-useallmodules-0.17-r1.apk | 3889 | 2024-Oct-25 19:08 |
innernet-bash-completion-1.6.1-r0.apk | 3889 | 2024-Oct-25 19:07 |
perl-email-mime-attachment-stripper-doc-1.317-r5..> | 3890 | 2024-Oct-25 19:08 |
perl-time-timegm-doc-0.01-r9.apk | 3898 | 2024-Oct-25 19:08 |
perl-tickit-widget-entry-plugin-completion-doc-0..> | 3916 | 2024-Oct-25 19:08 |
ticker-fish-completion-4.8.0-r0.apk | 3918 | 2025-Feb-05 23:19 |
perl-feed-find-0.13-r0.apk | 3922 | 2024-Oct-25 19:08 |
perl-test-useallmodules-doc-0.17-r1.apk | 3934 | 2024-Oct-25 19:08 |
perl-context-preserve-0.03-r4.apk | 3936 | 2024-Oct-25 19:08 |
csol-doc-1.6.0-r0.apk | 3941 | 2024-Oct-25 19:06 |
perl-plack-middleware-expires-0.06-r3.apk | 3943 | 2024-Oct-25 19:08 |
foolsm-doc-1.0.21-r0.apk | 3946 | 2024-Oct-25 19:07 |
primecount-doc-7.14-r0.apk | 3946 | 2024-Oct-25 19:08 |
perl-bind-config-parser-0.01-r5.apk | 3951 | 2024-Oct-25 19:08 |
perl-lib-abs-0.95-r0.apk | 3951 | 2024-Oct-25 19:08 |
py3-flask-markdown-pyc-0.3-r8.apk | 3952 | 2024-Oct-25 19:08 |
mangal-fish-completion-4.0.6-r14.apk | 3955 | 2025-Jan-25 07:04 |
emacs-avy-embark-collect-1.0_git20240327-r0.apk | 3955 | 2024-Oct-25 19:07 |
certbot-dns-pdns-pyc-0.1.1-r0.apk | 3958 | 2024-Oct-25 19:06 |
netsurf-framebuffer-doc-3.11-r0.apk | 3960 | 2024-Oct-25 19:07 |
git-bug-fish-completion-0.8.0-r15.apk | 3966 | 2025-Jan-25 07:03 |
perl-uri-nested-doc-0.10-r0.apk | 3970 | 2024-Oct-25 19:08 |
hurl-zsh-completion-6.0.0-r0.apk | 3981 | 2024-Dec-07 20:18 |
z-doc-1.12-r0.apk | 3984 | 2024-Oct-25 19:09 |
mml-doc-1.0.0-r0.apk | 3987 | 2024-Oct-25 19:07 |
py3-stringcase-pyc-1.2.0-r8.apk | 3988 | 2024-Oct-25 19:08 |
perl-tickit-widget-choice-0.07-r0.apk | 4003 | 2024-Oct-25 19:08 |
tncattach-doc-0.1.9-r1.apk | 4003 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 4008 | 2024-Oct-25 19:08 |
perl-log-message-simple-doc-0.10-r3.apk | 4017 | 2024-Oct-25 19:08 |
libiml-dev-1.0.5-r3.apk | 4017 | 2024-Oct-25 19:07 |
perl-role-eventemitter-doc-0.003-r0.apk | 4024 | 2024-Oct-25 19:08 |
py3-flask-components-0.1.1-r9.apk | 4024 | 2024-Oct-25 19:08 |
perl-class-inner-0.200001-r5.apk | 4025 | 2024-Oct-25 19:08 |
perl-types-path-tiny-0.006-r0.apk | 4025 | 2024-Oct-25 19:08 |
alarmwakeup-libs-0.2.1-r0.apk | 4027 | 2024-Oct-25 19:05 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 4034 | 2024-Oct-25 19:08 |
k3sup-zsh-completion-0.13.6-r1.apk | 4034 | 2025-Jan-25 07:04 |
mangal-zsh-completion-4.0.6-r14.apk | 4040 | 2025-Jan-25 07:04 |
cargo-machete-doc-0.7.0-r0.apk | 4042 | 2024-Dec-28 18:15 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 4045 | 2024-Oct-25 19:08 |
git-bug-zsh-completion-0.8.0-r15.apk | 4049 | 2025-Jan-25 07:03 |
perl-lib-abs-doc-0.95-r0.apk | 4052 | 2024-Oct-25 19:08 |
ko-zsh-completion-0.17.1-r1.apk | 4055 | 2025-Jan-25 07:04 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4059 | 2024-Oct-25 19:08 |
kbs2-zsh-completion-0.7.2-r3.apk | 4062 | 2024-Oct-25 19:07 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4063 | 2024-Oct-25 19:08 |
knative-client-zsh-completion-1.17.0-r0.apk | 4072 | 2025-Feb-05 22:08 |
welle-io-doc-2.6-r0.apk | 4072 | 2024-Dec-21 23:38 |
py3-luhn-0.2.0-r9.apk | 4075 | 2024-Oct-25 19:08 |
tenv-zsh-completion-3.2.4-r3.apk | 4078 | 2025-Jan-25 07:04 |
regal-zsh-completion-0.29.2-r1.apk | 4078 | 2025-Jan-25 07:04 |
kubeone-zsh-completion-1.9.1-r1.apk | 4078 | 2025-Jan-25 07:04 |
stern-zsh-completion-1.32.0-r0.apk | 4079 | 2025-Feb-05 21:51 |
glow-zsh-completion-2.0.0-r1.apk | 4079 | 2025-Jan-25 07:03 |
xfe-doc-1.46.2-r0.apk | 4080 | 2024-Oct-25 19:09 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 4080 | 2024-Oct-25 19:08 |
perl-uri-nested-0.10-r0.apk | 4080 | 2024-Oct-25 19:08 |
wgcf-zsh-completion-2.2.24-r1.apk | 4080 | 2025-Jan-25 07:04 |
py3-bottle-renderer-0.1.1-r9.apk | 4081 | 2024-Oct-25 19:08 |
upterm-zsh-completion-0.14.3-r1.apk | 4081 | 2025-Jan-25 07:04 |
timoni-zsh-completion-0.23.0-r1.apk | 4081 | 2025-Jan-25 07:04 |
helmfile-zsh-completion-0.170.1-r0.apk | 4081 | 2025-Feb-05 21:58 |
virtctl-zsh-completion-1.4.0-r1.apk | 4084 | 2025-Jan-25 07:04 |
cilium-cli-zsh-completion-0.16.13-r1.apk | 4085 | 2025-Jan-25 07:03 |
kubepug-zsh-completion-1.7.1-r6.apk | 4087 | 2025-Jan-25 07:04 |
hubble-cli-zsh-completion-0.13.6-r1.apk | 4091 | 2025-Jan-25 07:03 |
cowsay-doc-3.04-r2.apk | 4094 | 2024-Oct-25 19:06 |
virter-zsh-completion-0.28.1-r1.apk | 4094 | 2025-Jan-25 07:04 |
primesieve-doc-12.6-r0.apk | 4096 | 2024-Dec-14 18:13 |
sing-box-zsh-completion-1.11.0-r0.apk | 4097 | 2025-Feb-04 17:20 |
envsubst-0.1-r1.apk | 4098 | 2024-Oct-25 19:07 |
bomctl-zsh-completion-0.1.9-r2.apk | 4101 | 2025-Jan-25 07:03 |
lua5.2-editorconfig-0.3.0-r0.apk | 4108 | 2024-Oct-25 19:07 |
colorpicker-0_git20201128-r1.apk | 4116 | 2024-Oct-25 19:06 |
mcqd-dev-1.0.0-r1.apk | 4120 | 2024-Oct-25 19:07 |
py3-django-taggit-serializer-0.1.7-r8.apk | 4121 | 2024-Oct-25 19:08 |
topgit-bash-completion-0.19.13-r1.apk | 4123 | 2024-Oct-25 19:08 |
otrs-apache2-6.0.48-r2.apk | 4125 | 2024-Oct-25 19:08 |
colormake-0.9.20170221-r0.apk | 4130 | 2024-Oct-25 19:06 |
megatools-bash-completion-1.11.1.20241028-r0.apk | 4134 | 2024-Oct-29 19:34 |
perl-lv-doc-0.006-r0.apk | 4134 | 2024-Oct-25 19:08 |
py3-pacparser-pyc-1.4.5-r1.apk | 4135 | 2024-Oct-25 19:08 |
shfm-0.4.2-r1.apk | 4136 | 2024-Oct-25 19:08 |
pwauth-2.3.11-r2.apk | 4137 | 2024-Oct-25 19:08 |
admesh-dev-0.98.5-r0.apk | 4141 | 2024-Oct-25 19:05 |
perl-html-selector-xpath-doc-0.28-r0.apk | 4145 | 2024-Oct-25 19:08 |
lua5.3-editorconfig-0.3.0-r0.apk | 4147 | 2024-Oct-25 19:07 |
lua5.4-editorconfig-0.3.0-r0.apk | 4149 | 2024-Oct-25 19:07 |
zita-resampler-doc-1.10.1-r0.apk | 4153 | 2024-Oct-25 19:09 |
perl-lv-0.006-r0.apk | 4154 | 2024-Oct-25 19:08 |
py3-logtop-pyc-0.7-r0.apk | 4155 | 2024-Oct-25 19:08 |
ruby-simplecov-doc-0.22.0-r0.apk | 4158 | 2024-Oct-25 19:08 |
heh-doc-0.6.1-r0.apk | 4163 | 2024-Oct-25 19:07 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 4165 | 2024-Oct-25 19:08 |
perl-future-queue-0.52-r0.apk | 4167 | 2024-Oct-25 19:08 |
perl-types-path-tiny-doc-0.006-r0.apk | 4170 | 2024-Oct-25 19:08 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 4174 | 2024-Oct-25 19:08 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 4178 | 2024-Oct-25 19:08 |
way-displays-doc-1.8.1-r2.apk | 4179 | 2024-Oct-25 19:09 |
libirecovery-dev-1.2.1-r0.apk | 4180 | 2024-Oct-30 22:44 |
eatmemory-0.1.6-r2.apk | 4180 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4184 | 2024-Oct-25 19:08 |
makeclapman-doc-2.4.4-r1.apk | 4186 | 2025-Jan-25 07:04 |
perl-class-inner-doc-0.200001-r5.apk | 4187 | 2024-Oct-25 19:08 |
py3-bottle-werkzeug-0.1.1-r9.apk | 4190 | 2024-Oct-25 19:08 |
py3-flask-json-schema-0.0.5-r4.apk | 4196 | 2024-Oct-25 19:08 |
dfl-applications-dev-0.2.0-r0.apk | 4203 | 2024-Oct-25 19:06 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4204 | 2024-Oct-25 19:08 |
dfl-sni-dev-0.2.0-r0.apk | 4207 | 2024-Oct-25 19:06 |
warpinator-nemo-1.8.8-r0.apk | 4209 | 2025-Feb-05 22:11 |
cgo-doc-0.6.1-r1.apk | 4211 | 2024-Oct-25 19:06 |
agrep-doc-0.8.0-r2.apk | 4211 | 2024-Oct-25 19:05 |
perl-text-brew-doc-0.02-r5.apk | 4213 | 2024-Oct-25 19:08 |
w_scan2-doc-1.0.15-r0.apk | 4218 | 2024-Oct-25 19:09 |
perl-sort-versions-doc-1.62-r0.apk | 4221 | 2024-Oct-25 19:08 |
repgrep-fish-completion-0.15.0-r0.apk | 4221 | 2024-Oct-25 19:08 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4230 | 2024-Oct-25 19:08 |
mint-y-theme-2.1.1-r0.apk | 4231 | 2024-Oct-25 19:07 |
igrep-doc-1.2.0-r0.apk | 4233 | 2024-Oct-25 19:07 |
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4236 | 2025-Jan-05 15:08 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 4237 | 2024-Oct-25 19:08 |
flauschige-uhr-0.1-r1.apk | 4241 | 2024-Oct-25 19:07 |
checkpolicy-doc-3.6-r0.apk | 4243 | 2024-Oct-25 19:06 |
rauc-doc-1.10.1-r0.apk | 4243 | 2024-Oct-25 19:08 |
latex-clean-fig-pyc-0.1.0-r0.apk | 4244 | 2025-Feb-04 17:31 |
ovn-openrc-24.03.1-r0.apk | 4250 | 2024-Oct-25 19:08 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4253 | 2024-Oct-25 19:07 |
uxn-doc-1.0-r0.apk | 4255 | 2024-Oct-25 19:09 |
fbcur-1.0.1-r1.apk | 4260 | 2024-Oct-25 19:07 |
base64c-0.2.1-r0.apk | 4269 | 2024-Oct-25 19:05 |
xvidtune-doc-1.0.4-r0.apk | 4273 | 2024-Oct-25 19:09 |
perl-ev-hiredis-doc-0.07-r1.apk | 4276 | 2024-Oct-25 19:08 |
perl-sentinel-doc-0.07-r1.apk | 4282 | 2024-Oct-25 19:08 |
a2jmidid-doc-9-r3.apk | 4283 | 2024-Oct-25 19:05 |
perl-test-describeme-doc-0.004-r0.apk | 4285 | 2024-Oct-25 19:08 |
lutgen-doc-0.11.2-r0.apk | 4286 | 2024-Oct-25 19:07 |
perl-log-message-simple-0.10-r3.apk | 4288 | 2024-Oct-25 19:08 |
perl-context-preserve-doc-0.03-r4.apk | 4290 | 2024-Oct-25 19:08 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4294 | 2024-Oct-25 19:08 |
py3-mando-doc-0.7.1-r3.apk | 4295 | 2024-Oct-25 19:08 |
tnef-doc-1.4.18-r0.apk | 4296 | 2024-Oct-25 19:08 |
perl-file-mmagic-xs-doc-0.09008-r4.apk | 4298 | 2024-Oct-25 19:08 |
libdng-doc-0.2.1-r0.apk | 4300 | 2024-Dec-27 22:09 |
perl-i18n-langinfo-wide-9-r4.apk | 4301 | 2024-Oct-25 19:08 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4302 | 2024-Oct-25 19:08 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4303 | 2024-Oct-25 19:08 |
py3-log-symbols-0.0.14-r5.apk | 4305 | 2024-Oct-25 19:08 |
libjodycode-dev-3.1.1-r0.apk | 4312 | 2024-Oct-25 19:07 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4313 | 2024-Nov-20 02:43 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4314 | 2024-Nov-27 23:06 |
nwg-panel-doc-0.9.62-r0.apk | 4319 | 2025-Jan-25 07:04 |
k3sup-fish-completion-0.13.6-r1.apk | 4319 | 2025-Jan-25 07:04 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4324 | 2024-Oct-25 19:08 |
perl-test-api-doc-0.010-r2.apk | 4329 | 2024-Oct-25 19:08 |
powerstat-doc-0.04.01-r0.apk | 4336 | 2024-Oct-25 19:08 |
ko-fish-completion-0.17.1-r1.apk | 4337 | 2025-Jan-25 07:04 |
qpdfview-doc-0.5-r2.apk | 4346 | 2025-Jan-29 19:44 |
perl-devel-refcount-doc-0.10-r1.apk | 4348 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4351 | 2024-Oct-25 19:08 |
knative-client-fish-completion-1.17.0-r0.apk | 4351 | 2025-Feb-05 22:08 |
perl-future-queue-doc-0.52-r0.apk | 4353 | 2024-Oct-25 19:08 |
stern-fish-completion-1.32.0-r0.apk | 4355 | 2025-Feb-05 21:51 |
gmenuharness-dev-0.1.4-r1.apk | 4355 | 2024-Oct-25 19:07 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4357 | 2024-Oct-25 19:08 |
timoni-fish-completion-0.23.0-r1.apk | 4358 | 2025-Jan-25 07:04 |
scrypt-doc-1.3.2-r0.apk | 4358 | 2024-Oct-25 19:08 |
wgcf-fish-completion-2.2.24-r1.apk | 4360 | 2025-Jan-25 07:04 |
libaudec-dev-0.3.4-r3.apk | 4361 | 2024-Oct-25 19:07 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4362 | 2024-Oct-25 19:08 |
regal-fish-completion-0.29.2-r1.apk | 4365 | 2025-Jan-25 07:04 |
glow-fish-completion-2.0.0-r1.apk | 4365 | 2025-Jan-25 07:03 |
helmfile-fish-completion-0.170.1-r0.apk | 4367 | 2025-Feb-05 21:58 |
cilium-cli-fish-completion-0.16.13-r1.apk | 4367 | 2025-Jan-25 07:03 |
tenv-fish-completion-3.2.4-r3.apk | 4367 | 2025-Jan-25 07:04 |
virtctl-fish-completion-1.4.0-r1.apk | 4370 | 2025-Jan-25 07:04 |
virter-fish-completion-0.28.1-r1.apk | 4371 | 2025-Jan-25 07:04 |
hubble-cli-fish-completion-0.13.6-r1.apk | 4372 | 2025-Jan-25 07:03 |
py3-bottle-pgsql-0.2-r5.apk | 4374 | 2024-Oct-25 19:08 |
kubepug-fish-completion-1.7.1-r6.apk | 4375 | 2025-Jan-25 07:04 |
caps2esc-0.3.2-r0.apk | 4379 | 2024-Oct-25 19:06 |
php82-pecl-apfd-1.0.3-r0.apk | 4380 | 2024-Oct-25 19:08 |
perl-dbix-datasource-0.02-r5.apk | 4382 | 2024-Oct-25 19:08 |
perl-musicbrainz-discid-doc-0.06-r1.apk | 4385 | 2024-Oct-25 19:08 |
php83-pecl-apfd-1.0.3-r0.apk | 4386 | 2024-Oct-25 19:08 |
bomctl-fish-completion-0.1.9-r2.apk | 4387 | 2025-Jan-25 07:03 |
sing-box-fish-completion-1.11.0-r0.apk | 4387 | 2025-Feb-04 17:20 |
pash-2.3.0-r2.apk | 4389 | 2024-Oct-25 19:08 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0..> | 4392 | 2024-Oct-25 19:07 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4404 | 2024-Oct-25 19:08 |
xsane-doc-0.999-r2.apk | 4407 | 2024-Oct-25 19:09 |
kanister-tools-zsh-completion-0.112.0-r1.apk | 4413 | 2025-Jan-25 07:04 |
kompose-fish-completion-1.31.2-r6.apk | 4418 | 2025-Jan-25 07:04 |
sentinel-proxy-dev-2.1.0-r0.apk | 4418 | 2024-Oct-25 19:08 |
findtow-0.1-r0.apk | 4422 | 2024-Oct-25 19:07 |
perl-perlio-locale-0.10-r12.apk | 4431 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4440 | 2024-Oct-25 19:08 |
uxplay-doc-1.71.1-r0.apk | 4442 | 2025-Jan-25 16:26 |
libb64-2.0.0.1-r0.apk | 4442 | 2024-Oct-25 19:07 |
gambit-doc-4.9.5-r0.apk | 4449 | 2024-Oct-25 19:07 |
py3-daterangestr-0.0.3-r8.apk | 4458 | 2024-Oct-25 19:08 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4462 | 2024-Oct-25 19:08 |
netsurf-doc-3.11-r0.apk | 4467 | 2024-Oct-25 19:07 |
qsynth-doc-1.0.2-r0.apk | 4469 | 2024-Oct-25 19:08 |
perl-number-misc-doc-1.2-r5.apk | 4474 | 2024-Oct-25 19:08 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4476 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4477 | 2024-Oct-25 19:08 |
perl-test-requires-git-doc-1.008-r0.apk | 4486 | 2024-Oct-25 19:08 |
py3-infinity-1.5-r6.apk | 4487 | 2024-Oct-25 19:08 |
perl-datetime-format-rfc3339-1.10.0-r0.apk | 4492 | 2025-Jan-05 15:08 |
nvimpager-doc-0.12.0-r0.apk | 4503 | 2024-Oct-25 19:07 |
pdf2svg-0.2.3-r1.apk | 4504 | 2024-Oct-25 19:08 |
libcork-tools-0.15.0-r7.apk | 4505 | 2024-Oct-25 19:07 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4516 | 2024-Oct-25 19:08 |
zrepl-bash-completion-0.6.1-r7.apk | 4517 | 2025-Jan-25 07:04 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4518 | 2024-Oct-25 19:08 |
ruby-simplecov-html-0.13.1-r0.apk | 4519 | 2024-Oct-25 19:08 |
setroot-doc-2.0.2-r1.apk | 4549 | 2024-Oct-25 19:08 |
speedtest-go-doc-1.1.5-r11.apk | 4556 | 2025-Jan-25 07:04 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4558 | 2024-Oct-25 19:08 |
gr-satellites-doc-5.5.0-r4.apk | 4569 | 2024-Dec-18 12:24 |
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk | 4571 | 2024-Oct-25 19:08 |
libwhich-1.2.0-r0.apk | 4581 | 2024-Oct-25 19:07 |
py3-ask-pyc-0.0.8-r8.apk | 4582 | 2024-Oct-25 19:08 |
soundconverter-doc-4.0.6-r0.apk | 4588 | 2024-Nov-12 15:33 |
gufw-doc-24.04-r3.apk | 4590 | 2024-Nov-20 02:43 |
yaru-common-23.10.0-r1.apk | 4590 | 2024-Dec-01 02:28 |
godot-doc-4.3-r3.apk | 4599 | 2025-Jan-28 22:59 |
paperkey-doc-1.6-r2.apk | 4600 | 2024-Oct-25 19:08 |
wakeonlan-0.42-r0.apk | 4612 | 2024-Oct-25 19:09 |
perl-text-brew-0.02-r5.apk | 4615 | 2024-Oct-25 19:08 |
innernet-fish-completion-1.6.1-r0.apk | 4618 | 2024-Oct-25 19:07 |
ticker-bash-completion-4.8.0-r0.apk | 4628 | 2025-Feb-05 23:19 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4634 | 2024-Oct-25 19:08 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4636 | 2024-Oct-25 19:08 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4637 | 2024-Oct-25 19:08 |
hub-bash-completion-2.14.2-r27.apk | 4638 | 2025-Jan-25 07:03 |
libraqm-dev-0.10.2-r0.apk | 4642 | 2024-Oct-25 19:07 |
py3-pytest-home-0.6.0-r0.apk | 4643 | 2024-Oct-25 19:08 |
iprange-doc-1.0.4-r1.apk | 4644 | 2024-Oct-25 19:07 |
perl-linux-pid-0.04-r13.apk | 4646 | 2024-Oct-25 19:08 |
slidge-doc-0.1.3-r0.apk | 4647 | 2024-Oct-25 19:08 |
ffsend-zsh-completion-0.2.76-r4.apk | 4651 | 2024-Oct-25 19:07 |
perl-uri-redis-doc-0.02-r0.apk | 4658 | 2024-Oct-25 19:08 |
apache2-mod-realdoc-1-r1.apk | 4660 | 2024-Oct-25 19:05 |
perl-pod-cpandoc-0.16-r6.apk | 4664 | 2024-Oct-25 19:08 |
php81-ctype-8.1.31-r0.apk | 4668 | 2024-Nov-20 02:43 |
perl-signature-attribute-checked-doc-0.06-r0.apk | 4678 | 2024-Oct-25 19:08 |
perl-algorithm-cron-doc-0.10-r4.apk | 4680 | 2024-Oct-25 19:08 |
fnf-doc-0.1-r0.apk | 4682 | 2024-Oct-25 19:07 |
z-1.12-r0.apk | 4689 | 2024-Oct-25 19:09 |
wiki-tui-doc-0.8.2-r1.apk | 4715 | 2024-Oct-25 19:09 |
perl-tickit-widget-entry-plugin-completion-0.02-..> | 4717 | 2024-Oct-25 19:08 |
py3-cjkwrap-2.2-r4.apk | 4721 | 2024-Oct-25 19:08 |
py3-hurry.filesize-0.9-r8.apk | 4723 | 2024-Oct-25 19:08 |
perl-multidimensional-0.014-r0.apk | 4732 | 2024-Oct-25 19:08 |
py3-visitor-0.1.3-r7.apk | 4734 | 2024-Oct-25 19:08 |
ry-0.5.2-r1.apk | 4735 | 2024-Oct-25 19:08 |
apt-mirror-doc-0.5.4-r0.apk | 4741 | 2024-Oct-25 19:05 |
surf-doc-2.1-r3.apk | 4742 | 2024-Oct-25 19:08 |
eboard-doc-1.1.3-r1.apk | 4745 | 2024-Oct-25 19:07 |
clevis-extra-pins-0_git20230629-r0.apk | 4747 | 2024-Oct-25 19:06 |
spread-sheet-widget-doc-0.10-r0.apk | 4748 | 2024-Oct-25 19:08 |
rankwidth-libs-0.9-r3.apk | 4750 | 2024-Oct-25 19:08 |
py3-bottle-websocket-0.2.9-r8.apk | 4751 | 2024-Oct-25 19:08 |
py3-ioctl-opt-pyc-1.3-r0.apk | 4759 | 2025-Jan-27 21:37 |
logc-config-0.5.0-r0.apk | 4762 | 2024-Oct-25 19:07 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4768 | 2024-Oct-25 19:08 |
trippy-zsh-completion-0.12.2-r0.apk | 4784 | 2025-Jan-04 22:29 |
perl-url-encode-doc-0.03-r4.apk | 4787 | 2024-Oct-25 19:08 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4789 | 2024-Oct-25 19:08 |
quodlibet-bash-completion-4.6.0-r1.apk | 4799 | 2024-Oct-25 19:08 |
perl-template-tiny-doc-1.14-r0.apk | 4802 | 2024-Dec-15 10:09 |
rofi-json-menu-0.2.0-r1.apk | 4803 | 2024-Oct-25 19:08 |
cargo-shuttle-bash-completion-0.52.0-r0.apk | 4807 | 2025-Feb-04 22:02 |
ansiweather-1.19.0-r1.apk | 4809 | 2024-Oct-25 19:05 |
py3-bottle-sqlite-0.2.0-r7.apk | 4811 | 2024-Oct-25 19:08 |
jsmn-1.1.0-r2.apk | 4812 | 2024-Oct-25 19:07 |
py3-crc16-pyc-0.1.1-r10.apk | 4820 | 2024-Oct-25 19:08 |
octoprint-creality2xfix-0.0.4-r2.apk | 4822 | 2024-Oct-25 19:08 |
i2util-doc-4.2.1-r1.apk | 4826 | 2024-Oct-25 19:07 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4829 | 2024-Oct-25 19:08 |
rattler-build-fish-completion-0.18.0-r0.apk | 4831 | 2024-Oct-25 19:08 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4832 | 2024-Oct-25 19:07 |
console_bridge-dev-1.0.2-r0.apk | 4840 | 2024-Oct-25 19:06 |
libuecc-dev-7-r3.apk | 4848 | 2024-Oct-25 19:07 |
xmag-doc-1.0.8-r0.apk | 4851 | 2024-Oct-25 19:09 |
ruby-build-doc-20241225.2-r0.apk | 4852 | 2025-Jan-09 06:30 |
snore-0.3.1-r0.apk | 4854 | 2024-Oct-25 19:08 |
sc-im-doc-0.8.4-r0.apk | 4859 | 2024-Oct-25 19:08 |
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk | 4871 | 2024-Oct-25 19:08 |
codeberg-cli-fish-completion-0.4.7-r0.apk | 4876 | 2025-Jan-07 06:37 |
py3-flask-cdn-1.5.3-r8.apk | 4876 | 2024-Oct-25 19:08 |
perl-test-requires-git-1.008-r0.apk | 4877 | 2024-Oct-25 19:08 |
hx-doc-1.0.15-r0.apk | 4899 | 2024-Oct-25 19:07 |
perl-email-reply-doc-1.204-r5.apk | 4902 | 2024-Oct-25 19:08 |
py3-stringcase-1.2.0-r8.apk | 4905 | 2024-Oct-25 19:08 |
php82-snappy-0.2.1-r1.apk | 4911 | 2024-Oct-25 19:08 |
sstp-client-doc-1.0.20-r1.apk | 4917 | 2024-Dec-01 17:03 |
kanister-tools-fish-completion-0.112.0-r1.apk | 4923 | 2025-Jan-25 07:04 |
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk | 4934 | 2024-Oct-25 19:05 |
perl-git-version-compare-doc-1.005-r0.apk | 4959 | 2024-Oct-25 19:08 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4965 | 2024-Oct-25 19:08 |
perl-xml-rpc-doc-2.1-r0.apk | 4973 | 2024-Oct-25 19:08 |
perl-test-utf8-doc-1.03-r0.apk | 4978 | 2024-Nov-20 02:43 |
ecm-dev-7.0.5-r1.apk | 4984 | 2024-Oct-25 19:07 |
rankwidth-0.9-r3.apk | 4986 | 2024-Oct-25 19:08 |
pastel-zsh-completion-0.10.0-r0.apk | 4987 | 2024-Oct-25 19:08 |
py3-bottle-api-0.0.4-r7.apk | 4991 | 2024-Oct-25 19:08 |
horizon-dev-0.9.6-r9.apk | 4994 | 2024-Oct-25 19:07 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4995 | 2024-Oct-25 19:08 |
perl-template-plugin-number-format-1.06-r4.apk | 4999 | 2024-Oct-25 19:08 |
catcodec-doc-1.0.5-r2.apk | 5028 | 2024-Oct-25 19:06 |
py3-scs-pyc-3.2.3-r4.apk | 5041 | 2024-Oct-25 19:08 |
openslide-doc-3.4.1-r3.apk | 5042 | 2024-Oct-25 19:08 |
codeberg-cli-bash-completion-0.4.7-r0.apk | 5044 | 2025-Jan-07 06:37 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 5046 | 2024-Oct-25 19:08 |
xfd-doc-1.1.4-r0.apk | 5046 | 2024-Oct-25 19:09 |
perl-uri-tcp-doc-2.0.0-r0.apk | 5052 | 2024-Oct-25 19:08 |
mangal-bash-completion-4.0.6-r14.apk | 5052 | 2025-Jan-25 07:04 |
perl-test-settings-0.003-r0.apk | 5067 | 2024-Oct-25 19:08 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 5069 | 2024-Oct-25 19:08 |
rofi-pass-doc-2.0.2-r2.apk | 5073 | 2024-Oct-25 19:08 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5077 | 2024-Oct-25 19:08 |
k3sup-bash-completion-0.13.6-r1.apk | 5079 | 2025-Jan-25 07:04 |
git-revise-doc-0.7.0-r5.apk | 5080 | 2024-Oct-25 19:07 |
projectsandcastle-loader-0_git20200307-r1.apk | 5086 | 2024-Oct-25 19:08 |
py3-print-color-pyc-0.4.6-r0.apk | 5087 | 2024-Oct-25 19:08 |
perl-xml-parser-style-easytree-0.09-r0.apk | 5090 | 2024-Oct-25 19:08 |
ko-bash-completion-0.17.1-r1.apk | 5106 | 2025-Jan-25 07:04 |
py3-flask-accept-0.0.6-r1.apk | 5111 | 2024-Oct-25 19:08 |
libopensmtpd-doc-0.7-r0.apk | 5113 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-slide-1.0.0-r3.apk | 5116 | 2024-Oct-25 19:08 |
base64c-dev-0.2.1-r0.apk | 5118 | 2024-Oct-25 19:05 |
py3-ask-0.0.8-r8.apk | 5119 | 2024-Oct-25 19:08 |
ckb-next-dev-0.6.0-r1.apk | 5124 | 2024-Oct-25 19:06 |
glow-bash-completion-2.0.0-r1.apk | 5124 | 2025-Jan-25 07:03 |
regal-bash-completion-0.29.2-r1.apk | 5125 | 2025-Jan-25 07:04 |
tenv-bash-completion-3.2.4-r3.apk | 5125 | 2025-Jan-25 07:04 |
wgcf-bash-completion-2.2.24-r1.apk | 5126 | 2025-Jan-25 07:04 |
cilium-cli-bash-completion-0.16.13-r1.apk | 5128 | 2025-Jan-25 07:03 |
hubble-cli-bash-completion-0.13.6-r1.apk | 5132 | 2025-Jan-25 07:03 |
py3-ovos-phal-plugin-connectivity-events-pyc-0.1..> | 5134 | 2024-Nov-21 13:31 |
virter-bash-completion-0.28.1-r1.apk | 5139 | 2025-Jan-25 07:04 |
perl-algorithm-permute-doc-0.17-r0.apk | 5152 | 2024-Oct-25 19:08 |
perl-protocol-redis-doc-1.0021-r0.apk | 5154 | 2024-Oct-25 19:08 |
cargo-run-bin-doc-1.7.2-r0.apk | 5162 | 2024-Oct-25 19:06 |
mrsh-0_git20210518-r1.apk | 5164 | 2024-Oct-25 19:07 |
walk-sor-0_git20190920-r1.apk | 5165 | 2024-Oct-25 19:09 |
lomiri-thumbnailer-dev-3.0.4-r1.apk | 5171 | 2025-Jan-26 19:29 |
linux-timemachine-1.3.2-r0.apk | 5176 | 2024-Oct-25 19:07 |
virtctl-bash-completion-1.4.0-r1.apk | 5186 | 2025-Jan-25 07:04 |
py3-pygpgme-pyc-0.3.1-r9.apk | 5186 | 2024-Oct-25 19:08 |
perl-scalar-readonly-0.03-r1.apk | 5187 | 2024-Oct-25 19:08 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5188 | 2024-Oct-25 19:09 |
perl-test-api-0.010-r2.apk | 5188 | 2024-Oct-25 19:08 |
lxd-feature-bash-completion-5.20-r7.apk | 5188 | 2025-Jan-25 07:04 |
helmfile-bash-completion-0.170.1-r0.apk | 5189 | 2025-Feb-05 21:58 |
kubepug-bash-completion-1.7.1-r6.apk | 5194 | 2025-Jan-25 07:04 |
perl-algorithm-c3-doc-0.11-r1.apk | 5195 | 2024-Oct-25 19:08 |
bomctl-bash-completion-0.1.9-r2.apk | 5198 | 2025-Jan-25 07:03 |
sing-box-bash-completion-1.11.0-r0.apk | 5202 | 2025-Feb-04 17:20 |
py3-flask-autorouter-0.2.2-r3.apk | 5205 | 2024-Oct-25 19:08 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 5207 | 2024-Oct-25 19:08 |
kine-doc-0.10.1-r9.apk | 5212 | 2025-Jan-25 07:04 |
gamemode-dev-1.8.2-r0.apk | 5222 | 2025-Feb-05 23:19 |
wmctrl-doc-1.07-r1.apk | 5226 | 2024-Oct-25 19:09 |
minidyndns-doc-1.3.0-r3.apk | 5229 | 2024-Oct-25 19:07 |
lockrun-1.1.3-r1.apk | 5248 | 2024-Oct-25 19:07 |
perl-url-encode-0.03-r4.apk | 5252 | 2024-Oct-25 19:08 |
perl-path-iter-doc-0.2-r3.apk | 5253 | 2024-Oct-25 19:08 |
lua5.2-psl-0.3-r0.apk | 5257 | 2024-Oct-25 19:07 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5258 | 2024-Oct-25 19:08 |
git-bug-bash-completion-0.8.0-r15.apk | 5259 | 2025-Jan-25 07:03 |
perl-anyevent-future-0.05-r0.apk | 5262 | 2024-Oct-25 19:08 |
py3-radon-doc-6.0.1-r2.apk | 5263 | 2024-Oct-25 19:08 |
py3-click-default-group-1.2.4-r1.apk | 5270 | 2024-Oct-25 19:08 |
perl-net-irr-doc-0.10-r0.apk | 5275 | 2024-Oct-25 19:08 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5275 | 2024-Oct-25 19:08 |
paperde-dev-0.2.1-r2.apk | 5285 | 2024-Oct-25 19:08 |
perl-color-ansi-util-doc-0.165-r0.apk | 5285 | 2024-Oct-25 19:08 |
perl-template-tiny-1.14-r0.apk | 5290 | 2024-Dec-15 10:09 |
perl-number-misc-1.2-r5.apk | 5293 | 2024-Oct-25 19:08 |
lua5.3-psl-0.3-r0.apk | 5296 | 2024-Oct-25 19:07 |
tre-dev-0.8.0-r2.apk | 5300 | 2024-Oct-25 19:08 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5300 | 2024-Oct-25 19:08 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5303 | 2024-Oct-25 19:08 |
libhwpwm-dev-0.4.4-r0.apk | 5309 | 2024-Oct-25 19:07 |
perl-path-iter-0.2-r3.apk | 5318 | 2024-Oct-25 19:08 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5322 | 2024-Oct-25 19:08 |
minimodem-doc-0.24-r1.apk | 5323 | 2024-Oct-25 19:07 |
memdump-1.01-r1.apk | 5326 | 2024-Oct-25 19:07 |
lua5.1-psl-0.3-r0.apk | 5327 | 2024-Oct-25 19:07 |
ruby-base64-0.2.0-r0.apk | 5327 | 2024-Oct-25 19:08 |
py3-flake8-blind-except-0.2.1-r4.apk | 5329 | 2024-Oct-25 19:08 |
deadbeef-soxr-20180801-r0.apk | 5336 | 2024-Oct-25 19:06 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5341 | 2024-Oct-25 19:08 |
perl-string-compare-constanttime-doc-0.321-r6.apk | 5343 | 2024-Oct-25 19:08 |
sydbox-vim-3.21.3-r0.apk | 5350 | 2024-Oct-25 19:08 |
logc-libs-dev-0.1.0-r0.apk | 5361 | 2024-Oct-25 19:07 |
zita-njbridge-doc-0.4.8-r1.apk | 5362 | 2024-Oct-25 19:09 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5364 | 2024-Oct-25 19:08 |
dnsenum-doc-1.3.2-r0.apk | 5364 | 2024-Oct-25 19:06 |
py3-cjkwrap-pyc-2.2-r4.apk | 5364 | 2024-Oct-25 19:08 |
mobpass-pyc-0.2-r6.apk | 5369 | 2024-Oct-25 19:07 |
json2tsv-doc-1.2-r0.apk | 5370 | 2024-Oct-25 19:07 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5381 | 2024-Oct-25 19:08 |
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk | 5385 | 2024-Oct-30 05:03 |
edward-doc-1.1.0-r0.apk | 5400 | 2024-Oct-25 19:07 |
perl-guard-doc-1.023-r9.apk | 5402 | 2024-Oct-25 19:08 |
gtk-session-lock-dev-0.2.0-r0.apk | 5404 | 2025-Feb-01 17:06 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5412 | 2024-Oct-25 19:08 |
py3-marshmallow-enum-1.5.1-r7.apk | 5421 | 2024-Oct-25 19:08 |
xmp-doc-4.2.0-r0.apk | 5424 | 2024-Oct-25 19:09 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5439 | 2024-Oct-25 19:08 |
libb64-dev-2.0.0.1-r0.apk | 5441 | 2024-Oct-25 19:07 |
py3-flake8-snippets-0.2-r8.apk | 5449 | 2024-Oct-25 19:08 |
perl-net-async-redis-xs-doc-1.001-r1.apk | 5449 | 2024-Oct-25 19:08 |
mlxl-0.1-r0.apk | 5454 | 2024-Oct-25 19:07 |
lua-resty-redis-0.29-r0.apk | 5455 | 2024-Oct-25 19:07 |
py3-flask-basicauth-0.2.0-r9.apk | 5465 | 2024-Oct-25 19:08 |
perl-text-table-sprintf-0.008-r0.apk | 5466 | 2024-Oct-25 19:08 |
perl-sys-syscall-0.25-r10.apk | 5477 | 2024-Oct-25 19:08 |
par2cmdline-turbo-doc-1.2.0-r0.apk | 5477 | 2024-Dec-10 16:35 |
spvm-mime-base64-doc-1.003-r0.apk | 5478 | 2025-Feb-04 17:20 |
moccasin-doc-0.1.3-r0.apk | 5478 | 2024-Oct-25 19:07 |
libmpfi-dev-1.5.4-r2.apk | 5488 | 2024-Oct-25 19:07 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5488 | 2024-Oct-25 19:08 |
py3-dbus-fast-doc-2.24.4-r0.apk | 5495 | 2024-Nov-20 02:43 |
lomiri-action-api-dev-1.1.3-r1.apk | 5497 | 2024-Oct-25 19:07 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5498 | 2024-Oct-25 19:08 |
ghq-doc-1.7.1-r1.apk | 5509 | 2025-Jan-25 07:03 |
startup-fish-completion-2.0.3-r5.apk | 5511 | 2024-Oct-25 19:08 |
perl-git-version-compare-1.005-r0.apk | 5512 | 2024-Oct-25 19:08 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5514 | 2024-Oct-25 19:07 |
latex-clean-fig-0.1.0-r0.apk | 5517 | 2025-Feb-04 17:31 |
pipectl-0.4.1-r1.apk | 5521 | 2024-Oct-25 19:08 |
perl-anyevent-future-doc-0.05-r0.apk | 5533 | 2024-Oct-25 19:08 |
serialdv-dev-1.1.4-r0.apk | 5540 | 2024-Oct-25 19:08 |
neocmakelsp-doc-0.8.14-r0.apk | 5545 | 2025-Jan-12 13:22 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5547 | 2024-Oct-25 19:08 |
perl-test-class-tiny-doc-0.03-r0.apk | 5550 | 2024-Oct-25 19:08 |
py3-setuptools-lint-0.6.0-r9.apk | 5554 | 2024-Oct-25 19:08 |
php81-sysvsem-8.1.31-r0.apk | 5557 | 2024-Nov-20 02:43 |
innernet-zsh-completion-1.6.1-r0.apk | 5559 | 2024-Oct-25 19:07 |
perl-bareword-filehandles-0.007-r0.apk | 5562 | 2024-Oct-25 19:08 |
rattler-build-zsh-completion-0.18.0-r0.apk | 5569 | 2024-Oct-25 19:08 |
perl-sort-naturally-doc-1.03-r4.apk | 5570 | 2024-Oct-25 19:08 |
perl-term-size-0.211-r4.apk | 5570 | 2024-Oct-25 19:08 |
perl-conf-libconfig-doc-1.0.3-r0.apk | 5572 | 2024-Oct-25 19:08 |
boxed-cpp-doc-1.4.3-r0.apk | 5575 | 2024-Oct-25 19:06 |
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1...> | 5576 | 2024-Oct-25 19:08 |
perl-data-validate-domain-doc-0.15-r0.apk | 5581 | 2024-Oct-25 19:08 |
perl-net-irr-0.10-r0.apk | 5582 | 2024-Oct-25 19:08 |
vbindiff-doc-3.0_beta5-r1.apk | 5582 | 2024-Oct-25 19:09 |
py3-pycolorterm-0.2.1-r6.apk | 5590 | 2024-Oct-25 19:08 |
yamlfmt-doc-0.15.0-r1.apk | 5594 | 2025-Jan-25 07:04 |
tick-doc-1.2.2-r0.apk | 5595 | 2025-Jan-14 01:26 |
kubeseal-doc-0.27.3-r1.apk | 5598 | 2025-Jan-25 07:04 |
upterm-bash-completion-0.14.3-r1.apk | 5601 | 2025-Jan-25 07:04 |
tiny-doc-0.13.0-r0.apk | 5605 | 2025-Jan-01 18:10 |
luksmeta-doc-9-r0.apk | 5614 | 2024-Oct-25 19:07 |
kanister-tools-bash-completion-0.112.0-r1.apk | 5619 | 2025-Jan-25 07:04 |
autoconf-policy-0.1-r0.apk | 5621 | 2024-Oct-25 19:05 |
perl-class-c3-componentised-1.001002-r2.apk | 5633 | 2024-Oct-25 19:08 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5642 | 2024-Oct-25 19:08 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5646 | 2024-Oct-25 19:08 |
perl-list-keywords-doc-0.11-r0.apk | 5646 | 2024-Oct-25 19:08 |
wol-doc-0.7.1-r3.apk | 5654 | 2024-Oct-25 19:09 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5670 | 2024-Oct-25 19:07 |
qperf-doc-0.4.11-r1.apk | 5671 | 2024-Oct-25 19:08 |
kompose-bash-completion-1.31.2-r6.apk | 5675 | 2025-Jan-25 07:04 |
php81-gettext-8.1.31-r0.apk | 5675 | 2024-Nov-20 02:43 |
pfetch-doc-1.7.0-r0.apk | 5680 | 2025-Jan-03 18:51 |
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk | 5683 | 2024-Oct-25 19:08 |
perl-digest-bcrypt-1.212-r1.apk | 5685 | 2024-Oct-25 19:08 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5686 | 2024-Oct-25 19:08 |
perl-ppi-xs-0.910-r1.apk | 5693 | 2024-Oct-25 19:08 |
py3-rst-0.1-r9.apk | 5698 | 2024-Oct-25 19:08 |
hexedit-doc-1.6_git20230905-r0.apk | 5701 | 2024-Oct-25 19:07 |
py3-flask-loopback-1.4.7-r7.apk | 5703 | 2024-Oct-25 19:08 |
perl-test-utf8-1.03-r0.apk | 5706 | 2024-Nov-20 02:43 |
tayga-doc-0.9.2-r0.apk | 5707 | 2024-Oct-25 19:08 |
neard-doc-0.19-r0.apk | 5721 | 2024-Oct-25 19:07 |
fpp-doc-0.9.5-r0.apk | 5730 | 2024-Oct-25 19:07 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk | 5734 | 2024-Oct-25 19:08 |
ustream-ssl-20220116-r1.apk | 5735 | 2024-Oct-25 19:09 |
bgs-0.8-r1.apk | 5738 | 2024-Oct-25 19:05 |
perl-check-unitcheck-0.13-r1.apk | 5741 | 2024-Oct-25 19:08 |
py3-flask-markdown-0.3-r8.apk | 5747 | 2024-Oct-25 19:08 |
perl-protocol-redis-1.0021-r0.apk | 5749 | 2024-Oct-25 19:08 |
herbe-1.0.0-r0.apk | 5759 | 2024-Oct-25 19:07 |
ocaml-tophide-1.0.4-r2.apk | 5762 | 2024-Oct-25 19:08 |
perl-variable-disposition-doc-0.005-r0.apk | 5763 | 2024-Oct-25 19:08 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5766 | 2024-Oct-25 19:08 |
perl-algorithm-c3-0.11-r1.apk | 5767 | 2024-Oct-25 19:08 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5767 | 2024-Oct-25 19:08 |
perl-aliased-0.34-r4.apk | 5770 | 2024-Oct-25 19:08 |
harminv-doc-1.4.2-r1.apk | 5772 | 2024-Oct-25 19:07 |
perl-freezethaw-doc-0.5001-r2.apk | 5774 | 2024-Oct-25 19:08 |
perl-aliased-doc-0.34-r4.apk | 5780 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5787 | 2024-Oct-25 19:08 |
cargo-expand-doc-1.0.100-r0.apk | 5791 | 2025-Jan-12 21:00 |
libdng-utils-0.2.1-r0.apk | 5807 | 2024-Dec-27 22:09 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5810 | 2024-Oct-25 19:08 |
perl-lwp-online-doc-1.08-r0.apk | 5811 | 2024-Oct-25 19:08 |
git2json-pyc-0.2.3-r8.apk | 5826 | 2024-Oct-25 19:07 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5828 | 2024-Oct-25 19:08 |
perl-object-array-0.060-r0.apk | 5830 | 2024-Oct-25 19:08 |
turnstile-doc-0.1.10-r3.apk | 5839 | 2024-Oct-25 19:09 |
py3-uacme-desec-1.2.1-r0.apk | 5839 | 2024-Oct-25 19:08 |
materia-chromium-20210322-r1.apk | 5848 | 2024-Oct-25 19:07 |
materia-compact-chromium-20210322-r1.apk | 5858 | 2024-Oct-25 19:07 |
utop-doc-2.9.1-r4.apk | 5860 | 2024-Oct-25 19:09 |
wlopm-0.1.0-r0.apk | 5861 | 2024-Oct-25 19:09 |
libvoikko-doc-4.3.2-r1.apk | 5862 | 2024-Oct-25 19:07 |
materia-dark-chromium-20210322-r1.apk | 5867 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5869 | 2024-Oct-25 19:08 |
perl-xml-rpc-2.1-r0.apk | 5869 | 2024-Oct-25 19:08 |
termbox-dev-1.1.2-r1.apk | 5871 | 2024-Oct-25 19:08 |
dfu-programmer-doc-1.1.0-r0.apk | 5871 | 2024-Oct-25 19:06 |
py3-notifymail-pyc-1.1-r8.apk | 5878 | 2024-Oct-25 19:08 |
perl-io-sessiondata-1.03-r3.apk | 5880 | 2024-Oct-25 19:08 |
materia-dark-compact-chromium-20210322-r1.apk | 5880 | 2024-Oct-25 19:07 |
stern-bash-completion-1.32.0-r0.apk | 5911 | 2025-Feb-05 21:51 |
gsimplecal-doc-2.5.1-r0.apk | 5912 | 2024-Oct-25 19:07 |
php81-shmop-8.1.31-r0.apk | 5918 | 2024-Nov-20 02:43 |
spvm-thread-doc-0.003-r0.apk | 5920 | 2025-Feb-04 17:20 |
git-cola-doc-4.11.0-r0.apk | 5927 | 2025-Feb-01 17:06 |
libhwpwm-0.4.4-r0.apk | 5934 | 2024-Oct-25 19:07 |
py3-simplematch-pyc-1.4-r1.apk | 5940 | 2024-Oct-25 19:08 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5941 | 2024-Oct-25 19:08 |
vtable-dumper-1.2-r0.apk | 5943 | 2025-Jan-25 07:04 |
py3-slixmpp-doc-1.8.5-r2.apk | 5947 | 2024-Oct-25 19:08 |
perl-data-validate-domain-0.15-r0.apk | 5964 | 2024-Oct-25 19:08 |
dbus-broker-doc-36-r0.apk | 5981 | 2024-Oct-25 19:06 |
perl-syntax-operator-in-doc-0.10-r0.apk | 5981 | 2024-Oct-25 19:08 |
startup-dev-2.0.3-r5.apk | 5983 | 2024-Oct-25 19:08 |
perl-data-validate-ip-doc-0.31-r1.apk | 5983 | 2024-Oct-25 19:08 |
py3-jaraco.logging-pyc-3.3.0-r0.apk | 5984 | 2024-Oct-25 19:08 |
perl-test-class-tiny-0.03-r0.apk | 5991 | 2024-Oct-25 19:08 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5992 | 2024-Oct-25 19:07 |
py3-grequests-pyc-0.7.0-r2.apk | 6001 | 2024-Oct-25 19:08 |
cyrus-sasl-xoauth2-0.2-r1.apk | 6005 | 2024-Oct-25 19:06 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 6024 | 2024-Oct-25 19:08 |
restart-services-doc-0.17.0-r0.apk | 6024 | 2024-Oct-25 19:08 |
raspi2png-0.0.20190727-r0.apk | 6029 | 2024-Oct-25 19:08 |
spvm-errno-doc-0.093-r0.apk | 6033 | 2025-Jan-25 07:04 |
py3-pytest-expect-1.1.0-r10.apk | 6038 | 2024-Oct-25 19:08 |
river-shifttags-0.2.1-r0.apk | 6040 | 2024-Oct-25 19:08 |
py3-jaraco.versioning-1.1.0-r0.apk | 6050 | 2024-Oct-25 19:08 |
xcape-1.2-r0.apk | 6070 | 2024-Oct-25 19:09 |
perl-devel-refcount-0.10-r1.apk | 6076 | 2024-Oct-25 19:08 |
ruby-docile-1.4.1-r0.apk | 6097 | 2024-Oct-25 19:08 |
py3-ticket-auth-0.1.4-r9.apk | 6097 | 2024-Oct-25 19:08 |
tree-sitter-query-doc-0.4.0-r0.apk | 6108 | 2025-Jan-10 18:41 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 6109 | 2024-Oct-25 19:08 |
flawz-doc-0.3.0-r0.apk | 6130 | 2024-Nov-03 21:06 |
py3-pytap2-pyc-2.3.0-r0.apk | 6130 | 2024-Oct-25 19:08 |
xload-1.1.4-r0.apk | 6133 | 2024-Oct-25 19:09 |
f_scripts-f_phone-0.6-r1.apk | 6138 | 2024-Oct-25 19:07 |
spacectl-fish-completion-1.0.0-r2.apk | 6141 | 2025-Jan-25 07:04 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 6148 | 2024-Oct-25 19:08 |
hdf4-doc-4.2.15-r2.apk | 6149 | 2024-Oct-25 19:07 |
perl-cairo-gobject-1.005-r4.apk | 6169 | 2024-Oct-25 19:08 |
py3-jaraco.logging-3.3.0-r0.apk | 6169 | 2024-Oct-25 19:08 |
py3-iterable-io-1.0.0-r0.apk | 6182 | 2024-Oct-25 19:08 |
mdcat-doc-2.7.1-r0.apk | 6184 | 2024-Dec-14 18:04 |
perl-test-settings-doc-0.003-r0.apk | 6187 | 2024-Oct-25 19:08 |
perl-net-patricia-doc-1.22-r12.apk | 6188 | 2024-Oct-25 19:08 |
perl-test-distribution-doc-2.00-r1.apk | 6201 | 2024-Oct-25 19:08 |
mint-x-theme-metacity-2.1.1-r0.apk | 6202 | 2024-Oct-25 19:07 |
py3-class-doc-1.25-r1.apk | 6204 | 2024-Oct-25 19:08 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6206 | 2024-Oct-25 19:07 |
dislocker-doc-0.7.3-r5.apk | 6211 | 2024-Oct-25 19:06 |
ruby-notify-0.5.2-r0.apk | 6212 | 2024-Oct-25 19:08 |
php81-pecl-uuid-1.2.1-r0.apk | 6214 | 2024-Oct-25 19:08 |
perl-algorithm-cron-0.10-r4.apk | 6229 | 2024-Oct-25 19:08 |
create-tauri-app-doc-4.5.9-r0.apk | 6233 | 2024-Dec-25 22:07 |
py3-spinners-0.0.24-r5.apk | 6234 | 2024-Oct-25 19:08 |
py3-rst.linker-2.6.0-r0.apk | 6238 | 2024-Oct-25 19:08 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6250 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6252 | 2024-Oct-25 19:08 |
py3-rst-pyc-0.1-r9.apk | 6257 | 2024-Oct-25 19:08 |
py3-bottle-rest-0.6.0-r1.apk | 6258 | 2024-Oct-25 19:08 |
curlftpfs-doc-0.9.2-r3.apk | 6264 | 2024-Oct-25 19:06 |
isoinfo-0_git20131217-r1.apk | 6268 | 2024-Oct-25 19:07 |
typos-doc-1.23.2-r0.apk | 6271 | 2024-Oct-25 19:09 |
perl-email-reply-1.204-r5.apk | 6274 | 2024-Oct-25 19:08 |
perl-lwp-online-1.08-r0.apk | 6277 | 2024-Oct-25 19:08 |
finger-0.5-r0.apk | 6279 | 2024-Oct-25 19:07 |
perl-cgi-expand-doc-2.05-r4.apk | 6281 | 2024-Oct-25 19:08 |
remind-caldav-pyc-0.8.0-r4.apk | 6287 | 2024-Oct-25 19:08 |
shfm-doc-0.4.2-r1.apk | 6289 | 2024-Oct-25 19:08 |
leptosfmt-doc-0.1.18-r0.apk | 6298 | 2024-Oct-25 19:07 |
perl-test-toolbox-doc-0.4-r5.apk | 6299 | 2024-Oct-25 19:08 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6301 | 2024-Dec-12 06:32 |
game-devices-udev-0.23-r0.apk | 6315 | 2024-Nov-12 10:58 |
swhkd-doc-1.2.1-r0.apk | 6318 | 2024-Oct-25 19:08 |
perl-devel-leak-0.03-r13.apk | 6319 | 2024-Oct-25 19:08 |
perl-string-random-doc-0.32-r2.apk | 6320 | 2024-Oct-25 19:08 |
grip-doc-4.2.4-r0.apk | 6324 | 2024-Oct-25 19:07 |
neofetch-doc-7.1.0-r2.apk | 6328 | 2024-Nov-07 05:39 |
json2tsv-1.2-r0.apk | 6332 | 2024-Oct-25 19:07 |
perl-html-selector-xpath-0.28-r0.apk | 6342 | 2024-Oct-25 19:08 |
kannel-doc-1.5.0-r11.apk | 6349 | 2024-Oct-25 19:07 |
perl-throwable-1.001-r1.apk | 6352 | 2024-Oct-25 19:08 |
schismtracker-doc-20231029-r0.apk | 6369 | 2024-Oct-25 19:08 |
boxed-cpp-dev-1.4.3-r0.apk | 6373 | 2024-Oct-25 19:06 |
py3-flake8-debugger-4.1.2-r4.apk | 6387 | 2024-Oct-25 19:08 |
perl-b-hooks-op-check-0.22-r0.apk | 6391 | 2024-Oct-25 19:08 |
git-graph-doc-0.6.0-r0.apk | 6393 | 2024-Nov-25 23:38 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 6395 | 2024-Oct-25 19:06 |
py3-spinners-pyc-0.0.24-r5.apk | 6400 | 2024-Oct-25 19:08 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6405 | 2024-Oct-25 19:08 |
py3-dweepy-pyc-0.3.0-r7.apk | 6409 | 2024-Oct-25 19:08 |
amiitool-2-r2.apk | 6417 | 2024-Oct-25 19:05 |
ocp-index-emacs-1.3.6-r0.apk | 6420 | 2024-Oct-25 19:08 |
kgraphviewer-dev-2.5.0-r0.apk | 6443 | 2024-Oct-25 19:07 |
py3-pbkdf2-1.3-r7.apk | 6444 | 2024-Oct-25 19:08 |
php81-sysvshm-8.1.31-r0.apk | 6448 | 2024-Nov-20 02:43 |
openfortivpn-doc-1.22.1-r0.apk | 6448 | 2024-Dec-11 21:31 |
serialdv-1.1.4-r0.apk | 6454 | 2024-Oct-25 19:08 |
perl-http-xsheaders-doc-0.400005-r1.apk | 6469 | 2024-Oct-25 19:08 |
n30f-2.0-r3.apk | 6478 | 2024-Oct-25 19:07 |
upterm-doc-0.14.3-r1.apk | 6484 | 2025-Jan-25 07:04 |
alarmwakeup-0.2.1-r0.apk | 6487 | 2024-Oct-25 19:05 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6490 | 2024-Oct-25 19:08 |
bgpq4-doc-1.15-r0.apk | 6495 | 2024-Oct-25 19:05 |
py3-click-threading-0.5.0-r5.apk | 6498 | 2024-Oct-25 19:08 |
libantic-dev-0.2.5-r0.apk | 6504 | 2024-Oct-25 19:07 |
perl-indirect-doc-0.39-r1.apk | 6504 | 2024-Oct-25 19:08 |
fox-utils-1.6.57-r0.apk | 6512 | 2024-Oct-25 19:07 |
perl-lwp-useragent-cached-0.08-r1.apk | 6517 | 2024-Oct-25 19:08 |
perl-time-timegm-0.01-r9.apk | 6522 | 2024-Oct-25 19:08 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6523 | 2024-Oct-25 19:08 |
pantalaimon-doc-0.10.5-r4.apk | 6531 | 2024-Oct-25 19:08 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6531 | 2024-Oct-25 19:08 |
serie-doc-0.4.3-r0.apk | 6543 | 2025-Feb-04 17:20 |
libbamf-dev-0.5.6-r1.apk | 6547 | 2024-Oct-25 19:07 |
php81-pecl-lzf-1.7.0-r0.apk | 6554 | 2024-Oct-25 19:08 |
perl-test-memorygrowth-0.05-r0.apk | 6572 | 2024-Oct-25 19:08 |
rss-email-doc-0.5.0-r0.apk | 6583 | 2024-Oct-25 19:08 |
repgrep-doc-0.15.0-r0.apk | 6627 | 2024-Oct-25 19:08 |
clinfo-doc-3.0.23.01.25-r0.apk | 6629 | 2024-Oct-25 19:06 |
plfit-dev-1.0.1-r0.apk | 6634 | 2025-Jan-04 03:47 |
lsix-1.8.2-r0.apk | 6640 | 2024-Oct-25 19:07 |
cpiped-0.1.0-r0.apk | 6642 | 2024-Oct-25 19:06 |
ocaml-mmap-dev-1.2.0-r3.apk | 6657 | 2024-Oct-25 19:07 |
ovpncc-doc-0.1_rc1-r0.apk | 6671 | 2024-Oct-25 19:08 |
lol-html-dev-1.1.1-r1.apk | 6673 | 2024-Oct-25 19:07 |
perl-syntax-operator-equ-doc-0.10-r0.apk | 6675 | 2024-Oct-25 19:08 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6680 | 2024-Oct-25 19:08 |
imediff-doc-2.6-r1.apk | 6686 | 2024-Oct-25 19:07 |
prometheus-ipmi-exporter-doc-1.8.0-r1.apk | 6708 | 2025-Jan-25 07:04 |
cutechess-cli-doc-1.3.1-r0.apk | 6713 | 2024-Oct-25 19:06 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6714 | 2024-Oct-25 19:08 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6716 | 2024-Oct-25 19:08 |
bananui-clock-0.1.0-r0.apk | 6722 | 2024-Oct-25 19:05 |
watchbind-doc-0.2.1-r1.apk | 6722 | 2024-Oct-25 19:09 |
py3-pymsteams-pyc-0.2.3-r1.apk | 6736 | 2024-Oct-25 19:08 |
dcnnt-doc-0.10.0-r1.apk | 6748 | 2024-Oct-25 19:06 |
perl-string-crc32-2.100-r4.apk | 6750 | 2024-Oct-25 19:08 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6758 | 2024-Oct-25 19:08 |
emacs-persist-0.6_git20240114-r0.apk | 6767 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6783 | 2024-Oct-25 19:08 |
apk-snap-3.1.1-r0.apk | 6783 | 2024-Oct-25 19:05 |
perl-text-table-any-doc-0.117-r0.apk | 6784 | 2024-Oct-25 19:08 |
kubeone-bash-completion-1.9.1-r1.apk | 6787 | 2025-Jan-25 07:04 |
perl-devel-confess-doc-0.009004-r0.apk | 6791 | 2024-Oct-25 19:08 |
py3-tailer-pyc-0.4.1-r7.apk | 6794 | 2024-Oct-25 19:08 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6803 | 2024-Oct-25 19:08 |
ovos-messagebus-pyc-0.0.8-r0.apk | 6806 | 2024-Nov-25 12:41 |
debconf-utils-1.5.82-r0.apk | 6823 | 2024-Oct-25 19:06 |
saait-0.8-r0.apk | 6838 | 2024-Oct-25 19:08 |
codeberg-cli-zsh-completion-0.4.7-r0.apk | 6838 | 2025-Jan-07 06:37 |
extremetuxracer-doc-0.8.3-r0.apk | 6838 | 2024-Oct-25 19:07 |
perl-storable-improved-0.1.3-r0.apk | 6844 | 2024-Oct-25 19:08 |
perl-test-files-0.26-r0.apk | 6871 | 2024-Oct-25 19:08 |
py3-jaraco.stream-3.0.4-r0.apk | 6875 | 2024-Dec-14 22:50 |
mm-1.4.2-r1.apk | 6878 | 2024-Oct-25 19:07 |
amber-mpris-dev-1.2.9-r0.apk | 6880 | 2024-Dec-22 17:00 |
p910nd-0.97-r2.apk | 6881 | 2024-Oct-25 19:08 |
py3-flake8-print-5.0.0-r5.apk | 6883 | 2024-Oct-25 19:08 |
kompose-zsh-completion-1.31.2-r6.apk | 6884 | 2025-Jan-25 07:04 |
rattler-build-doc-0.18.0-r0.apk | 6885 | 2024-Oct-25 19:08 |
perl-test-file-doc-1.994-r0.apk | 6899 | 2025-Jan-12 04:09 |
zarchive-dev-0.1.2-r2.apk | 6901 | 2024-Oct-25 19:09 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6916 | 2024-Oct-25 19:08 |
cliquer-1.22-r2.apk | 6919 | 2024-Oct-25 19:06 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6928 | 2024-Oct-25 19:08 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6933 | 2024-Oct-25 19:08 |
logc-0.5.0-r0.apk | 6957 | 2024-Oct-25 19:07 |
pwauth-doc-2.3.11-r2.apk | 6965 | 2024-Oct-25 19:08 |
perl-archive-extract-doc-0.88-r1.apk | 6968 | 2024-Oct-25 19:08 |
termcolor-dev-2.1.0-r0.apk | 6999 | 2024-Oct-25 19:08 |
qoi-dev-0.0.0_git20230312-r0.apk | 7001 | 2024-Oct-25 19:08 |
py3-grequests-0.7.0-r2.apk | 7010 | 2024-Oct-25 19:08 |
spvm-math-doc-1.006-r0.apk | 7012 | 2025-Feb-04 17:20 |
perl-cgi-expand-2.05-r4.apk | 7014 | 2024-Oct-25 19:08 |
qtmir-dev-0.7.2-r2.apk | 7019 | 2024-Oct-25 19:08 |
openslide-dev-3.4.1-r3.apk | 7021 | 2024-Oct-25 19:08 |
apache2-mod-authnz-external-3.3.3-r0.apk | 7022 | 2024-Oct-25 19:05 |
perl-sentinel-0.07-r1.apk | 7024 | 2024-Oct-25 19:08 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0...> | 7026 | 2024-Oct-25 19:08 |
py3-playsound-1.3.0-r1.apk | 7028 | 2024-Oct-25 19:08 |
tree-sitter-git-rebase-0_git20220110-r2.apk | 7029 | 2024-Oct-25 19:08 |
perl-x-tiny-0.22-r0.apk | 7033 | 2024-Oct-25 19:08 |
pixi-doc-0.24.2-r0.apk | 7034 | 2024-Oct-25 19:08 |
py3-tailer-0.4.1-r7.apk | 7035 | 2024-Oct-25 19:08 |
py3-banal-1.0.6-r4.apk | 7042 | 2024-Oct-25 19:08 |
halp-doc-0.2.0-r0.apk | 7045 | 2024-Oct-25 19:07 |
perl-storable-improved-doc-0.1.3-r0.apk | 7054 | 2024-Oct-25 19:08 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 7057 | 2024-Oct-25 19:08 |
dublin-traceroute-dev-0.4.2-r4.apk | 7059 | 2024-Oct-25 19:07 |
tui-journal-doc-0.10.0-r0.apk | 7061 | 2024-Oct-25 19:09 |
perl-crypt-saltedhash-0.09-r5.apk | 7089 | 2024-Oct-25 19:08 |
py3-x-wr-timezone-pyc-2.0.0-r0.apk | 7093 | 2024-Dec-06 22:31 |
perl-constant-defer-doc-6-r5.apk | 7099 | 2024-Oct-25 19:08 |
stw-0.3-r0.apk | 7103 | 2024-Oct-25 19:08 |
apk-autoupdate-doc-0_git20210421-r1.apk | 7123 | 2024-Nov-20 02:43 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 7125 | 2024-Oct-25 19:08 |
oils-for-unix-doc-0.26.0-r1.apk | 7126 | 2025-Feb-04 17:20 |
libjodycode-3.1.1-r0.apk | 7127 | 2024-Oct-25 19:07 |
perl-object-array-doc-0.060-r0.apk | 7129 | 2024-Oct-25 19:08 |
py3-pytap2-2.3.0-r0.apk | 7136 | 2024-Oct-25 19:08 |
py3-flask-themer-pyc-2.0.0-r2.apk | 7139 | 2024-Oct-25 19:08 |
oil-doc-0.21.0-r0.apk | 7140 | 2024-Oct-25 19:08 |
nkk-doc-0_git20221010-r0.apk | 7141 | 2024-Oct-25 19:07 |
py3-pip-system-certs-4.0-r1.apk | 7153 | 2024-Oct-25 19:08 |
libmysofa-dev-1.3.2-r0.apk | 7160 | 2024-Oct-25 19:07 |
ocaml-mirage-random-3.0.0-r3.apk | 7161 | 2024-Oct-25 19:07 |
bchunk-1.2.2-r3.apk | 7165 | 2024-Oct-25 19:05 |
tmpmail-1.2.3-r2.apk | 7169 | 2024-Oct-25 19:08 |
fyi-doc-1.0.4-r0.apk | 7178 | 2024-Oct-25 19:07 |
enlighten-0.9.2-r1.apk | 7186 | 2024-Oct-25 19:07 |
perl-constant-generate-doc-0.17-r5.apk | 7190 | 2024-Oct-25 19:08 |
perl-uri-fetch-0.15-r0.apk | 7203 | 2024-Oct-25 19:08 |
perl-string-compare-constanttime-0.321-r6.apk | 7210 | 2024-Oct-25 19:08 |
wasm-tools-doc-1.223.0-r0.apk | 7222 | 2025-Jan-11 22:12 |
php81-sysvmsg-8.1.31-r0.apk | 7234 | 2024-Nov-20 02:43 |
py3-flake8-polyfill-1.0.2-r5.apk | 7242 | 2024-Oct-25 19:08 |
py3-pbkdf2-pyc-1.3-r7.apk | 7247 | 2024-Oct-25 19:08 |
adjtimex-doc-1.29-r0.apk | 7259 | 2024-Oct-25 19:05 |
py3-logfury-pyc-1.0.1-r0.apk | 7273 | 2024-Oct-25 19:08 |
perl-test-expander-2.5.1-r0.apk | 7278 | 2024-Oct-25 19:08 |
perl-full-1.004-r0.apk | 7284 | 2024-Oct-25 19:08 |
boxes-doc-2.3.1-r0.apk | 7284 | 2024-Oct-25 19:06 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7290 | 2024-Nov-11 03:57 |
harminv-1.4.2-r1.apk | 7291 | 2024-Oct-25 19:07 |
perl-graphql-client-0.605-r0.apk | 7297 | 2024-Oct-25 19:08 |
libandroidfw-dev-0_git20250115-r0.apk | 7300 | 2025-Jan-16 09:05 |
moon-buggy-doc-1.0.51-r1.apk | 7308 | 2024-Oct-25 19:07 |
py3-flask-bcrypt-1.0.1-r5.apk | 7315 | 2024-Oct-25 19:08 |
pmccabe-doc-2.8-r1.apk | 7319 | 2024-Oct-25 19:08 |
dsp-doc-1.9-r2.apk | 7325 | 2024-Oct-25 19:07 |
pixi-bash-completion-0.24.2-r0.apk | 7328 | 2024-Oct-25 19:08 |
ocaml-mmap-1.2.0-r3.apk | 7331 | 2024-Oct-25 19:07 |
perl-tickit-widget-menu-0.16-r0.apk | 7333 | 2024-Oct-25 19:08 |
ovos-phal-pyc-0.2.7-r0.apk | 7345 | 2024-Nov-21 13:31 |
visidata-zsh-completion-2.11.1-r2.apk | 7348 | 2024-Oct-25 19:09 |
pptpclient-doc-1.10.0-r5.apk | 7353 | 2024-Oct-25 19:08 |
ecm-doc-7.0.5-r1.apk | 7367 | 2024-Oct-25 19:07 |
py3-shodan-doc-1.31.0-r1.apk | 7372 | 2024-Oct-25 19:08 |
reredirect-0.3-r0.apk | 7380 | 2024-Oct-25 19:08 |
py3-banal-pyc-1.0.6-r4.apk | 7383 | 2024-Oct-25 19:08 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7388 | 2024-Oct-25 19:08 |
perl-log-fu-doc-0.31-r4.apk | 7412 | 2024-Oct-25 19:08 |
libfishsound-1.0.0-r1.apk | 7417 | 2024-Oct-25 19:07 |
perl-math-random-isaac-xs-1.004-r8.apk | 7421 | 2024-Oct-25 19:08 |
litterbox-doc-1.9-r1.apk | 7422 | 2024-Oct-25 19:07 |
bkt-doc-0.8.0-r0.apk | 7429 | 2024-Oct-25 19:05 |
advancescan-doc-1.18-r1.apk | 7441 | 2024-Oct-25 19:05 |
cdba-1.0-r2.apk | 7444 | 2024-Oct-25 19:06 |
mediastreamer2-plugin-x264-20200722-r6.apk | 7450 | 2024-Oct-25 19:07 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7451 | 2024-Oct-25 19:08 |
tree-sitter-vimdoc-doc-3.0.0-r2.apk | 7455 | 2025-Jan-10 06:09 |
cargo-shuttle-zsh-completion-0.52.0-r0.apk | 7457 | 2025-Feb-04 22:02 |
perl-color-ansi-util-0.165-r0.apk | 7458 | 2024-Oct-25 19:08 |
py3-async-lru-2.0.4-r1.apk | 7463 | 2024-Oct-25 19:08 |
keystone-dev-0.9.2-r6.apk | 7478 | 2024-Oct-25 19:07 |
lizardfs-cgiserv-3.13.0-r14.apk | 7485 | 2024-Dec-03 14:30 |
py3-colorthief-0.2.1-r1.apk | 7489 | 2024-Oct-25 19:08 |
hexer-dev-1.4.0-r16.apk | 7496 | 2024-Nov-08 00:05 |
msgpuck-doc-2.0-r1.apk | 7507 | 2024-Oct-25 19:07 |
perl-openapi-client-doc-1.07-r0.apk | 7508 | 2024-Oct-25 19:08 |
cargo-shuttle-fish-completion-0.52.0-r0.apk | 7512 | 2025-Feb-04 22:02 |
jbigkit-doc-2.1-r2.apk | 7515 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7520 | 2024-Oct-25 19:08 |
mint-x-icons-doc-1.7.2-r0.apk | 7555 | 2024-Dec-24 10:07 |
py3-proglog-0.1.10-r2.apk | 7558 | 2024-Oct-25 19:08 |
perl-constant-defer-6-r5.apk | 7562 | 2024-Oct-25 19:08 |
perl-color-rgb-util-doc-0.607-r0.apk | 7567 | 2024-Oct-25 19:08 |
perl-signature-attribute-checked-0.06-r0.apk | 7569 | 2024-Oct-25 19:08 |
libfyaml-doc-0.9-r0.apk | 7569 | 2024-Oct-25 19:07 |
py3-ntplib-0.4.0-r5.apk | 7573 | 2024-Oct-25 19:08 |
py3-python-archive-0.2-r7.apk | 7574 | 2024-Oct-25 19:08 |
rsstail-2.1-r1.apk | 7575 | 2024-Oct-25 19:08 |
cliquer-dev-1.22-r2.apk | 7580 | 2024-Oct-25 19:06 |
cargo-udeps-doc-0.1.54-r0.apk | 7588 | 2025-Jan-12 13:00 |
git2json-0.2.3-r8.apk | 7600 | 2024-Oct-25 19:07 |
py3-more-properties-1.1.1-r3.apk | 7614 | 2024-Oct-25 19:08 |
perl-future-asyncawait-hooks-0.02-r0.apk | 7622 | 2024-Oct-25 19:08 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7633 | 2024-Oct-25 19:08 |
sylpheed-imap-notify-1.1.0-r2.apk | 7640 | 2024-Oct-25 19:08 |
pass2csv-pyc-1.1.1-r1.apk | 7651 | 2024-Oct-25 19:08 |
py3-columnize-pyc-0.3.11-r4.apk | 7662 | 2024-Oct-25 19:08 |
libcotp-3.1.0-r0.apk | 7665 | 2024-Oct-25 19:07 |
perl-ryu-async-0.020-r0.apk | 7666 | 2024-Oct-25 19:08 |
cscope-doc-15.9-r1.apk | 7670 | 2024-Oct-25 19:06 |
perl-uri-fetch-doc-0.15-r0.apk | 7680 | 2024-Oct-25 19:08 |
py3-pickle-secure-0.99.9-r1.apk | 7681 | 2024-Oct-25 19:08 |
py3-simplespectral-1.0.0-r5.apk | 7683 | 2024-Oct-25 19:08 |
py3-lsp-black-2.0.0-r1.apk | 7684 | 2024-Oct-25 19:08 |
wakeonlan-doc-0.42-r0.apk | 7695 | 2024-Oct-25 19:09 |
perl-dbix-datasource-doc-0.02-r5.apk | 7699 | 2024-Oct-25 19:08 |
meson-tools-0.1-r2.apk | 7700 | 2024-Dec-09 16:38 |
aqemu-doc-0.9.4-r3.apk | 7700 | 2024-Oct-25 19:05 |
perl-file-rename-2.02-r0.apk | 7701 | 2024-Oct-25 19:08 |
gamemode-doc-1.8.2-r0.apk | 7722 | 2025-Feb-05 23:19 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7725 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0..> | 7737 | 2024-Oct-25 19:08 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7741 | 2024-Oct-25 19:08 |
py3-wg-netns-2.3.1-r1.apk | 7751 | 2024-Oct-25 19:08 |
py3-eradicate-2.3.0-r2.apk | 7752 | 2024-Oct-25 19:08 |
fungw-dev-1.2.1-r0.apk | 7752 | 2024-Dec-30 09:48 |
py3-notifymail-1.1-r8.apk | 7758 | 2024-Oct-25 19:08 |
dvdbackup-doc-0.4.2-r1.apk | 7761 | 2024-Oct-25 19:07 |
perl-x-tiny-doc-0.22-r0.apk | 7778 | 2024-Oct-25 19:08 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7786 | 2024-Oct-25 19:08 |
ffms2-dev-5.0-r0.apk | 7789 | 2024-Oct-25 19:07 |
py3-jaraco.path-3.7.2-r0.apk | 7803 | 2024-Oct-25 19:08 |
imrsh-0_git20210320-r1.apk | 7804 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7805 | 2024-Oct-25 19:08 |
fungw-c-1.2.1-r0.apk | 7808 | 2024-Dec-30 09:48 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7814 | 2024-Oct-25 19:08 |
perl-email-abstract-3.010-r0.apk | 7820 | 2024-Oct-25 19:08 |
pinentry-bemenu-0.13.1-r0.apk | 7825 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7829 | 2024-Oct-25 19:08 |
py3-openapi-codec-1.3.2-r9.apk | 7834 | 2024-Oct-25 19:08 |
opkg-doc-0.7.0-r0.apk | 7835 | 2024-Oct-25 19:08 |
geomyidae-doc-0.34-r2.apk | 7838 | 2024-Oct-25 19:07 |
gmic-dev-3.3.5-r1.apk | 7860 | 2024-Nov-21 23:02 |
php81-pecl-maxminddb-1.12.0-r0.apk | 7868 | 2024-Nov-20 02:43 |
postgresql-pg_partman-scripts-5.0.0-r0.apk | 7869 | 2024-Oct-25 19:08 |
runst-doc-0.1.7-r0.apk | 7876 | 2024-Oct-25 19:08 |
perl-syntax-operator-equ-0.10-r0.apk | 7877 | 2024-Oct-25 19:08 |
arc-xfwm-20221218-r0.apk | 7882 | 2024-Oct-25 19:05 |
queercat-1.0.0-r0.apk | 7883 | 2024-Oct-25 19:08 |
arc-lighter-xfwm-20221218-r0.apk | 7902 | 2024-Oct-25 19:05 |
php81-pspell-8.1.31-r0.apk | 7905 | 2024-Nov-20 02:43 |
py3-sstash-0.17-r9.apk | 7912 | 2024-Oct-25 19:08 |
perl-test-distribution-2.00-r1.apk | 7917 | 2024-Oct-25 19:08 |
moosefs-cgiserv-3.0.117-r2.apk | 7924 | 2024-Oct-25 19:07 |
mat2-doc-0.13.4-r3.apk | 7927 | 2024-Oct-25 19:07 |
kmscon-doc-9.0.0-r0.apk | 7928 | 2024-Oct-25 19:07 |
mod_dnssd-0.6-r0.apk | 7929 | 2024-Oct-25 19:07 |
haxe-doc-4.3.6-r0.apk | 7930 | 2024-Nov-29 06:11 |
libretro-gong-0_git20220319-r0.apk | 7941 | 2024-Oct-25 19:07 |
perl-syntax-keyword-match-doc-0.15-r0.apk | 7946 | 2024-Oct-25 19:08 |
libirecovery-progs-1.2.1-r0.apk | 7948 | 2024-Oct-30 22:44 |
walk-sor-doc-0_git20190920-r1.apk | 7955 | 2024-Oct-25 19:09 |
pam_sqlite3-1.0.2-r2.apk | 7964 | 2024-Oct-25 19:08 |
py3-bottle-session-pyc-1.0-r6.apk | 7982 | 2024-Oct-25 19:08 |
perl-graphql-client-cli-0.605-r0.apk | 7988 | 2024-Oct-25 19:08 |
tty-clock-2.3_git20240104-r0.apk | 7989 | 2024-Oct-25 19:09 |
perl-object-pad-fieldattr-checked-0.12-r0.apk | 7993 | 2024-Oct-25 19:08 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7995 | 2024-Oct-25 19:07 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 8002 | 2024-Oct-25 19:08 |
agrep-0.8.0-r2.apk | 8028 | 2024-Oct-25 19:05 |
py3-click-threading-pyc-0.5.0-r5.apk | 8042 | 2024-Oct-25 19:08 |
perl-bsd-resource-doc-1.2911-r10.apk | 8043 | 2024-Oct-25 19:08 |
py3-logfury-1.0.1-r0.apk | 8044 | 2024-Oct-25 19:08 |
py3-simplesoapy-1.5.1-r7.apk | 8053 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 8054 | 2024-Oct-25 19:08 |
arc-dark-xfwm-20221218-r0.apk | 8062 | 2024-Oct-25 19:05 |
arc-darker-xfwm-20221218-r0.apk | 8062 | 2024-Oct-25 19:05 |
jhead-doc-3.08-r0.apk | 8064 | 2024-Oct-25 19:07 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 8066 | 2024-Dec-07 21:51 |
care-doc-2.3.0-r1.apk | 8070 | 2024-Oct-25 19:06 |
perl-string-random-0.32-r2.apk | 8078 | 2024-Oct-25 19:08 |
libnfc-dev-1.8.0-r1.apk | 8079 | 2024-Oct-25 19:07 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 8086 | 2024-Oct-25 19:08 |
py3-flask-themer-2.0.0-r2.apk | 8086 | 2024-Oct-25 19:08 |
timoni-bash-completion-0.23.0-r1.apk | 8092 | 2025-Jan-25 07:04 |
fulcrum-admin-1.9.8-r1.apk | 8103 | 2024-Oct-25 19:07 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 8114 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 8115 | 2024-Oct-25 19:08 |
ocaml-result-dev-1.5-r2.apk | 8126 | 2024-Oct-25 19:08 |
ssh-honeypot-0.1.1-r1.apk | 8127 | 2024-Oct-25 19:08 |
bananui-demos-2.0.0-r0.apk | 8137 | 2024-Oct-25 19:05 |
py3-clickclick-20.10.2-r4.apk | 8143 | 2024-Oct-25 19:08 |
t2sz-1.1.2-r0.apk | 8146 | 2024-Oct-25 19:08 |
libgrapheme-doc-1-r0.apk | 8157 | 2024-Oct-25 19:07 |
perl-netaddr-mac-doc-0.98-r1.apk | 8158 | 2024-Oct-25 19:08 |
boinc-doc-7.24.3-r0.apk | 8160 | 2024-Oct-25 19:05 |
perl-guard-1.023-r9.apk | 8166 | 2024-Oct-25 19:08 |
py3-flask-httpauth-4.8.0-r2.apk | 8173 | 2024-Oct-25 19:08 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 8173 | 2024-Oct-25 19:08 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8177 | 2024-Oct-25 19:08 |
php81-enchant-8.1.31-r0.apk | 8178 | 2024-Nov-20 02:43 |
perl-throwable-doc-1.001-r1.apk | 8182 | 2024-Oct-25 19:08 |
code-minimap-doc-0.6.7-r0.apk | 8182 | 2024-Dec-12 19:34 |
sigrok-cli-doc-0.7.2-r0.apk | 8184 | 2024-Oct-25 19:08 |
py3-simplematch-1.4-r1.apk | 8190 | 2024-Oct-25 19:08 |
pamtester-0.1.2-r4.apk | 8194 | 2024-Oct-25 19:08 |
ocaml-omake-doc-0.10.6-r0.apk | 8207 | 2024-Oct-25 19:07 |
nfoview-doc-2.0.1-r0.apk | 8210 | 2024-Oct-25 19:07 |
perl-dbix-introspector-0.001005-r4.apk | 8218 | 2024-Oct-25 19:08 |
libb64-doc-2.0.0.1-r0.apk | 8227 | 2024-Oct-25 19:07 |
vmtouch-doc-1.3.1-r0.apk | 8233 | 2024-Oct-25 19:09 |
exabgp-doc-4.2.22-r0.apk | 8239 | 2024-Nov-30 10:47 |
perl-text-table-any-0.117-r0.apk | 8244 | 2024-Oct-25 19:08 |
passage-1.7.4_alpha2-r0.apk | 8252 | 2024-Dec-24 11:03 |
tuned-profiles-2.24.1-r1.apk | 8258 | 2025-Jan-27 15:48 |
perl-list-binarysearch-xs-doc-0.09-r1.apk | 8261 | 2024-Oct-25 19:08 |
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8268 | 2024-Oct-25 19:08 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8270 | 2024-Dec-14 22:50 |
libcorkipset-dev-1.1.1-r4.apk | 8271 | 2024-Oct-25 19:07 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8272 | 2024-Oct-25 19:08 |
py3-ly-doc-0.9.8-r1.apk | 8287 | 2024-Oct-25 19:08 |
ttfautohint-doc-1.8.4-r0.apk | 8289 | 2024-Oct-25 19:09 |
perl-adapter-async-0.019-r0.apk | 8307 | 2024-Oct-25 19:08 |
lomiri-libusermetrics-dev-1.3.3-r0.apk | 8312 | 2024-Oct-25 19:07 |
sxcs-1.1.0-r0.apk | 8321 | 2024-Oct-25 19:08 |
libzn_poly-dev-0.9.2-r2.apk | 8341 | 2024-Oct-25 19:07 |
libmhash-doc-0.9.9.9-r3.apk | 8342 | 2024-Oct-25 19:07 |
py3-pygelbooru-0.5.0-r4.apk | 8347 | 2024-Oct-25 19:08 |
rtl-power-fftw-doc-20200601-r4.apk | 8350 | 2024-Oct-25 19:08 |
wol-lang-0.7.1-r3.apk | 8352 | 2024-Oct-25 19:09 |
nsnake-3.0.0-r0.apk | 8359 | 2024-Oct-25 19:07 |
ruby-rainbow-3.1.1-r0.apk | 8369 | 2024-Oct-25 19:08 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8376 | 2024-Oct-25 19:07 |
perl-data-checks-doc-0.10-r0.apk | 8396 | 2024-Oct-25 19:08 |
cargo-update-doc-16.0.0-r0.apk | 8396 | 2024-Dec-14 18:07 |
planarity-3.0.2.0-r2.apk | 8400 | 2024-Oct-25 19:08 |
py3-flask-paginate-0.8.1-r6.apk | 8412 | 2024-Oct-25 19:08 |
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8417 | 2024-Oct-25 19:08 |
icesprog-0_git20240108-r1.apk | 8420 | 2024-Oct-25 19:07 |
py3-more-properties-pyc-1.1.1-r3.apk | 8421 | 2024-Oct-25 19:08 |
perl-daemon-control-doc-0.001010-r2.apk | 8424 | 2024-Oct-25 19:08 |
noice-0.8-r1.apk | 8440 | 2024-Oct-25 19:07 |
bootinfo-pyc-0.1.0-r4.apk | 8442 | 2024-Oct-25 19:06 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8444 | 2024-Oct-25 19:08 |
pokoy-0.2.5-r0.apk | 8445 | 2024-Oct-25 19:08 |
wput-doc-0.6.2-r4.apk | 8445 | 2024-Oct-25 19:09 |
hiprompt-gtk-py-0.8.0-r0.apk | 8449 | 2024-Oct-25 19:07 |
edam-doc-1.0.2-r0.apk | 8454 | 2025-Jan-07 16:41 |
disfetch-3.7-r0.apk | 8491 | 2024-Oct-25 19:06 |
meson-tools-doc-0.1-r2.apk | 8495 | 2024-Dec-09 16:38 |
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk | 8498 | 2024-Oct-25 19:08 |
flowd-dev-0.9.1-r10.apk | 8503 | 2024-Oct-25 19:07 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8527 | 2024-Oct-25 19:08 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8533 | 2024-Oct-25 19:08 |
py3-junit-xml-1.9-r3.apk | 8537 | 2024-Oct-25 19:08 |
py3-eradicate-pyc-2.3.0-r2.apk | 8548 | 2024-Oct-25 19:08 |
perl-uri-db-doc-0.23-r0.apk | 8550 | 2025-Jan-09 05:26 |
perl-net-async-redis-xs-1.001-r1.apk | 8564 | 2024-Oct-25 19:08 |
pass2csv-1.1.1-r1.apk | 8567 | 2024-Oct-25 19:08 |
perl-test-timer-doc-2.12-r2.apk | 8571 | 2024-Oct-25 19:08 |
tinycbor-dev-0.6.0-r1.apk | 8571 | 2024-Oct-25 19:08 |
perl-ref-util-xs-0.117-r8.apk | 8575 | 2024-Oct-25 19:08 |
libuecc-7-r3.apk | 8578 | 2024-Oct-25 19:07 |
tmux-resurrect-doc-4.0.0-r0.apk | 8594 | 2024-Oct-25 19:08 |
btpd-doc-0.16-r2.apk | 8597 | 2024-Oct-25 19:06 |
py3-dotty-dict-1.3.1-r4.apk | 8599 | 2024-Oct-25 19:08 |
limnoria-doc-20240828-r0.apk | 8602 | 2024-Oct-25 19:07 |
gutenprint-doc-5.3.4-r5.apk | 8607 | 2024-Oct-25 19:07 |
py3-landlock-1.0.0_pre4-r2.apk | 8610 | 2024-Oct-25 19:08 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8619 | 2024-Oct-25 19:08 |
libsds-2.0.0-r1.apk | 8637 | 2024-Oct-25 19:07 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8638 | 2024-Oct-25 19:08 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8639 | 2024-Oct-25 19:08 |
foma-dev-0.10.0_git20240712-r0.apk | 8674 | 2024-Oct-25 19:07 |
perl-term-ui-doc-0.50-r1.apk | 8679 | 2024-Oct-25 19:08 |
sshuttle-doc-1.1.2-r0.apk | 8680 | 2024-Oct-25 19:08 |
lgogdownloader-doc-3.16-r0.apk | 8715 | 2024-Dec-25 18:58 |
perl-net-netmask-doc-2.0002-r2.apk | 8725 | 2024-Oct-25 19:08 |
py3-columnize-0.3.11-r4.apk | 8728 | 2024-Oct-25 19:08 |
s-dkim-sign-doc-0.6.2-r0.apk | 8729 | 2024-Oct-25 19:08 |
pounce-doc-3.1-r3.apk | 8737 | 2024-Oct-25 19:08 |
fatresize-1.1.0-r1.apk | 8746 | 2024-Oct-25 19:07 |
py3-playsound-pyc-1.3.0-r1.apk | 8749 | 2024-Oct-25 19:08 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8786 | 2024-Oct-25 19:08 |
py3-python-logstash-0.4.8-r4.apk | 8810 | 2024-Oct-25 19:08 |
rustic-bash-completion-0.9.3-r0.apk | 8816 | 2024-Oct-25 19:08 |
py3-async-lru-pyc-2.0.4-r1.apk | 8817 | 2024-Oct-25 19:08 |
hurl-doc-6.0.0-r0.apk | 8818 | 2024-Dec-07 20:18 |
py3-vatnumber-pyc-1.2-r9.apk | 8828 | 2024-Oct-25 19:08 |
py3-ntplib-pyc-0.4.0-r5.apk | 8829 | 2024-Oct-25 19:08 |
perl-openapi-client-1.07-r0.apk | 8830 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-connectivity-events-0.1.1-r..> | 8831 | 2024-Nov-21 13:31 |
php81-pecl-pcov-1.0.12-r0.apk | 8832 | 2024-Dec-04 17:17 |
cvs-fast-export-tools-1.65-r0.apk | 8847 | 2024-Oct-25 19:06 |
libcyaml-doc-1.4.2-r0.apk | 8850 | 2024-Oct-25 19:07 |
lua5.2-libmodbus-0.6.1-r0.apk | 8853 | 2024-Oct-25 19:07 |
lua5.1-libmodbus-0.6.1-r0.apk | 8854 | 2024-Oct-25 19:07 |
perl-sort-naturally-1.03-r4.apk | 8854 | 2024-Oct-25 19:08 |
createrepo_c-doc-1.1.4-r0.apk | 8862 | 2024-Oct-25 19:06 |
wpa_actiond-1.4-r7.apk | 8869 | 2024-Oct-25 19:09 |
daktilo-doc-0.6.0-r0.apk | 8873 | 2024-Oct-25 19:06 |
perl-promise-xs-doc-0.20-r1.apk | 8874 | 2024-Oct-25 19:08 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8875 | 2024-Dec-01 20:13 |
ruby-syslog-0.2.0-r1.apk | 8888 | 2025-Jan-25 07:04 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8892 | 2024-Oct-25 19:08 |
subdl-0_git20230616-r1.apk | 8896 | 2024-Oct-25 19:08 |
lua5.2-luastatic-0.0.12-r1.apk | 8902 | 2024-Oct-25 19:07 |
py3-uptime-pyc-3.0.1-r9.apk | 8908 | 2024-Oct-25 19:08 |
py3-certauth-1.3.0-r1.apk | 8914 | 2024-Oct-25 19:08 |
py3-class-doc-pyc-1.25-r1.apk | 8918 | 2024-Oct-25 19:08 |
quodlibet-doc-4.6.0-r1.apk | 8924 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8925 | 2024-Oct-25 19:08 |
lua5.3-luastatic-0.0.12-r1.apk | 8935 | 2024-Oct-25 19:07 |
fbvnc-0_git20220812-r0.apk | 8942 | 2024-Oct-25 19:07 |
perl-constant-generate-0.17-r5.apk | 8943 | 2024-Oct-25 19:08 |
endlessh-1.1-r0.apk | 8960 | 2024-Oct-25 19:07 |
perl-musicbrainz-discid-0.06-r1.apk | 8960 | 2024-Oct-25 19:08 |
h4h5tools-dev-2.2.5-r4.apk | 8962 | 2024-Oct-25 19:07 |
py3-translationstring-pyc-1.4-r4.apk | 8979 | 2024-Oct-25 19:08 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8991 | 2024-Oct-25 19:07 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8994 | 2024-Oct-25 19:08 |
vcsh-2.0.5-r0.apk | 9007 | 2024-Oct-25 19:09 |
lua5.4-luastatic-0.0.12-r1.apk | 9009 | 2024-Oct-25 19:07 |
perl-data-validate-ip-0.31-r1.apk | 9021 | 2024-Oct-25 19:08 |
py3-quebra-frases-0.3.7-r1.apk | 9023 | 2024-Oct-25 19:08 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 9030 | 2024-Oct-25 19:08 |
nitrocli-doc-0.4.1-r3.apk | 9040 | 2024-Oct-25 19:07 |
libucl-doc-0.9.0-r0.apk | 9050 | 2024-Oct-25 19:07 |
ccze-doc-0.2.1-r1.apk | 9052 | 2024-Oct-25 19:06 |
rofi-pass-2.0.2-r2.apk | 9056 | 2024-Oct-25 19:08 |
perl-autobox-doc-3.0.2-r0.apk | 9057 | 2024-Oct-25 19:08 |
perl-net-curl-promiser-0.20-r0.apk | 9060 | 2024-Oct-25 19:08 |
certbot-dns-pdns-0.1.1-r0.apk | 9061 | 2024-Oct-25 19:06 |
libmdbx-doc-0.11.8-r0.apk | 9063 | 2024-Oct-25 19:07 |
py3-phpserialize-1.3-r8.apk | 9063 | 2024-Oct-25 19:08 |
tdrop-doc-0.5.0-r0.apk | 9066 | 2024-Oct-25 19:08 |
py3-print-color-0.4.6-r0.apk | 9072 | 2024-Oct-25 19:08 |
perl-test-timer-2.12-r2.apk | 9079 | 2024-Oct-25 19:08 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 9087 | 2024-Oct-25 19:08 |
logc-dev-0.5.0-r0.apk | 9092 | 2024-Oct-25 19:07 |
php81-pecl-csv-0.4.2-r0.apk | 9108 | 2024-Oct-25 19:08 |
libraqm-0.10.2-r0.apk | 9110 | 2024-Oct-25 19:07 |
md5ha1-0_git20171202-r1.apk | 9128 | 2024-Oct-25 19:07 |
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk | 9146 | 2024-Oct-25 19:08 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 9154 | 2024-Oct-25 19:08 |
py3-pylru-pyc-1.2.1-r1.apk | 9160 | 2024-Oct-25 19:08 |
py3-thefuzz-pyc-0.22.1-r1.apk | 9167 | 2024-Oct-25 19:08 |
libwbxml-dev-0.11.8-r0.apk | 9175 | 2024-Oct-25 19:07 |
py3-forbiddenfruit-0.1.4-r2.apk | 9180 | 2024-Oct-25 19:08 |
py3-keepalive-0.5-r5.apk | 9187 | 2024-Oct-25 19:08 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9200 | 2024-Oct-25 19:08 |
fff-doc-2.2-r0.apk | 9203 | 2024-Oct-25 19:07 |
jdupes-doc-1.28.0-r0.apk | 9207 | 2024-Oct-25 19:07 |
perl-number-format-doc-1.76-r1.apk | 9210 | 2024-Oct-25 19:08 |
bindfs-doc-1.17.7-r0.apk | 9216 | 2025-Jan-02 15:14 |
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk | 9216 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 9224 | 2024-Oct-25 19:08 |
innernet-doc-1.6.1-r0.apk | 9224 | 2024-Oct-25 19:07 |
pspp-doc-2.0.1-r0.apk | 9229 | 2024-Oct-25 19:08 |
perl-syntax-operator-in-0.10-r0.apk | 9230 | 2024-Oct-25 19:08 |
cargo-chef-doc-0.1.71-r0.apk | 9231 | 2025-Jan-29 23:01 |
ocaml-result-1.5-r2.apk | 9236 | 2024-Oct-25 19:08 |
perl-math-libm-1.00-r14.apk | 9257 | 2024-Oct-25 19:08 |
php81-bz2-8.1.31-r0.apk | 9258 | 2024-Nov-20 02:43 |
console_bridge-1.0.2-r0.apk | 9263 | 2024-Oct-25 19:06 |
thunarx-python-0.5.2-r2.apk | 9274 | 2024-Oct-25 19:08 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9275 | 2024-Oct-25 19:08 |
cargo-shuttle-doc-0.52.0-r0.apk | 9275 | 2025-Feb-04 22:02 |
duc-doc-1.4.5-r0.apk | 9280 | 2024-Oct-25 19:07 |
py3-uc-micro-py-1.0.2-r1.apk | 9285 | 2024-Oct-25 19:08 |
gatling-doc-0.16-r6.apk | 9293 | 2024-Oct-25 19:07 |
py3-dweepy-0.3.0-r7.apk | 9304 | 2024-Oct-25 19:08 |
py3-certauth-pyc-1.3.0-r1.apk | 9309 | 2024-Oct-25 19:08 |
perl-future-q-doc-0.120-r0.apk | 9311 | 2024-Oct-25 19:08 |
php83-pecl-jsmin-3.0.0-r0.apk | 9323 | 2024-Oct-25 19:08 |
libgrapheme-1-r0.apk | 9327 | 2024-Oct-25 19:07 |
php81-pecl-jsmin-3.0.0-r0.apk | 9330 | 2024-Oct-25 19:08 |
php82-pecl-jsmin-3.0.0-r0.apk | 9332 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9336 | 2024-Oct-25 19:08 |
lua-inet-0.2.0-r1.apk | 9348 | 2024-Oct-25 19:07 |
perl-gtk3-doc-0.038-r1.apk | 9354 | 2024-Oct-25 19:08 |
gtk4-layer-shell-demo-1.1.0-r0.apk | 9367 | 2025-Feb-01 17:06 |
dsnet-doc-0.7.3-r7.apk | 9368 | 2025-Jan-25 07:03 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9371 | 2024-Oct-25 19:08 |
py3-translationstring-1.4-r4.apk | 9374 | 2024-Oct-25 19:08 |
py3-pymsgbox-1.0.9-r5.apk | 9381 | 2024-Oct-25 19:08 |
horust-doc-0.1.7-r2.apk | 9387 | 2024-Oct-25 19:07 |
afetch-2.2.0-r1.apk | 9399 | 2024-Oct-25 19:05 |
freediameter-1.5.0-r1.apk | 9403 | 2024-Oct-25 19:07 |
py3-tls_parser-2.0.1-r1.apk | 9405 | 2024-Oct-25 19:08 |
py3-telegram-text-0.2.0-r1.apk | 9409 | 2024-Oct-25 19:08 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9431 | 2024-Oct-25 19:08 |
perl-statistics-basic-1.6611-r0.apk | 9434 | 2024-Oct-25 19:08 |
pick-4.0.0-r0.apk | 9443 | 2024-Oct-25 19:08 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9450 | 2024-Oct-25 19:08 |
py3-google-trans-new-1.1.9-r2.apk | 9452 | 2024-Oct-25 19:08 |
perl-future-http-0.17-r0.apk | 9456 | 2024-Oct-25 19:08 |
catdoc-doc-0.95-r1.apk | 9470 | 2024-Oct-25 19:06 |
perl-class-c3-doc-0.35-r1.apk | 9479 | 2024-Oct-25 19:08 |
click-dev-0.5.2-r3.apk | 9482 | 2024-Oct-25 19:06 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9500 | 2024-Oct-25 19:08 |
libiscsi-doc-1.19.0-r2.apk | 9505 | 2024-Oct-25 19:07 |
certbot-dns-njalla-2.0.0-r0.apk | 9520 | 2024-Nov-27 23:06 |
lolcat-1.4-r0.apk | 9522 | 2024-Oct-25 19:07 |
netsed-1.3-r3.apk | 9522 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9523 | 2024-Oct-25 19:08 |
perl-database-async-engine-postgresql-doc-1.005-..> | 9537 | 2024-Oct-25 19:08 |
py3-junit-xml-pyc-1.9-r3.apk | 9537 | 2024-Oct-25 19:08 |
cgo-0.6.1-r1.apk | 9542 | 2024-Oct-25 19:06 |
sflowtool-doc-6.02-r0.apk | 9556 | 2024-Oct-25 19:08 |
py3-levenshtein-pyc-0.26.1-r0.apk | 9562 | 2024-Dec-19 05:16 |
py3-protego-0.3.1-r0.apk | 9562 | 2024-Nov-30 20:18 |
py3-python-archive-pyc-0.2-r7.apk | 9576 | 2024-Oct-25 19:08 |
supermin-doc-5.2.2-r2.apk | 9587 | 2024-Oct-25 19:08 |
perl-color-rgb-util-0.607-r0.apk | 9587 | 2024-Oct-25 19:08 |
py3-nose-timer-1.0.1-r6.apk | 9597 | 2024-Oct-25 19:08 |
openswitcher-proxy-0.5.0-r4.apk | 9607 | 2024-Oct-25 19:08 |
brltty-doc-6.7-r0.apk | 9612 | 2024-Oct-25 19:06 |
x11docker-doc-7.6.0-r1.apk | 9617 | 2024-Oct-25 19:09 |
nbsdgames-doc-5-r0.apk | 9619 | 2024-Oct-25 19:07 |
libgrapheme-dev-1-r0.apk | 9620 | 2024-Oct-25 19:07 |
fyi-1.0.4-r0.apk | 9631 | 2024-Oct-25 19:07 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9634 | 2024-Oct-25 19:08 |
perl-digest-crc-0.24-r1.apk | 9635 | 2024-Oct-25 19:08 |
py3-natpmp-1.3.2-r1.apk | 9637 | 2024-Oct-25 19:08 |
ifuse-1.1.4-r5.apk | 9659 | 2024-Oct-30 22:44 |
perl-class-c3-0.35-r1.apk | 9664 | 2024-Oct-25 19:08 |
avarice-doc-2.14-r4.apk | 9666 | 2024-Oct-25 19:05 |
apt-mirror-0.5.4-r0.apk | 9672 | 2024-Oct-25 19:05 |
py3-intervals-0.9.2-r5.apk | 9673 | 2024-Oct-25 19:08 |
lomiri-trust-store-dev-2.0.2-r6.apk | 9676 | 2024-Oct-25 19:07 |
ocaml-ppx_derivers-1.2.1-r2.apk | 9700 | 2024-Oct-25 19:08 |
lomiri-indicator-network-dev-1.1.0-r0.apk | 9704 | 2025-Jan-10 10:07 |
gpg-remailer-doc-3.04.07-r1.apk | 9710 | 2024-Oct-25 19:07 |
mktorrent-borg-0.9.9-r1.apk | 9722 | 2024-Oct-25 19:07 |
py3-lib_users-pyc-0.15-r4.apk | 9736 | 2024-Oct-25 19:08 |
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9740 | 2024-Oct-25 19:08 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9751 | 2024-Oct-25 19:08 |
libtsm-dev-4.0.2-r1.apk | 9754 | 2024-Oct-25 19:07 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9755 | 2024-Oct-25 19:08 |
tree-sitter-git-diff-0_git20230730-r0.apk | 9765 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk | 9810 | 2024-Nov-21 13:31 |
s-postgray-doc-0.8.3-r0.apk | 9811 | 2024-Oct-25 19:08 |
perl-algorithm-backoff-0.010-r0.apk | 9816 | 2024-Oct-25 19:08 |
perl-future-q-0.120-r0.apk | 9816 | 2024-Oct-25 19:08 |
irccd-dev-4.0.3-r0.apk | 9829 | 2024-Oct-25 19:07 |
atool-doc-0.39.0-r4.apk | 9835 | 2024-Oct-25 19:05 |
rygel-doc-0.44.1-r0.apk | 9837 | 2024-Dec-01 12:57 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9845 | 2024-Oct-25 19:05 |
kerberoast-0.2.0-r1.apk | 9850 | 2024-Oct-25 19:07 |
py3-pyrebase-3.0.27-r5.apk | 9856 | 2024-Oct-25 19:08 |
perl-minion-backend-pg-10.31-r0.apk | 9860 | 2024-Oct-25 19:08 |
py3-uptime-3.0.1-r9.apk | 9863 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9891 | 2024-Nov-21 13:31 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9892 | 2024-Oct-25 19:08 |
keystone-python-pyc-0.9.2-r6.apk | 9904 | 2024-Oct-25 19:07 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9911 | 2024-Oct-25 19:08 |
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk | 9917 | 2024-Oct-25 19:08 |
py3-mnemonic-pyc-0.21-r0.apk | 9922 | 2024-Oct-25 19:08 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9932 | 2024-Oct-25 19:08 |
extrace-0.9-r0.apk | 9947 | 2024-Oct-25 19:07 |
kirc-0.3.2-r0.apk | 9958 | 2024-Oct-25 19:07 |
emacs-hnreader-0_git20221116-r0.apk | 9986 | 2024-Oct-25 19:07 |
xlhtml-0.5.1-r0.apk | 9994 | 2024-Oct-25 19:09 |
py3-pep8-naming-0.14.1-r0.apk | 10002 | 2024-Oct-25 19:08 |
perl-hash-ordered-0.014-r0.apk | 10008 | 2024-Oct-25 19:08 |
py3-clickclick-pyc-20.10.2-r4.apk | 10010 | 2024-Oct-25 19:08 |
py3-jaraco.vcs-2.4.0-r0.apk | 10014 | 2024-Oct-25 19:08 |
opkg-0.7.0-r0.apk | 10028 | 2024-Oct-25 19:08 |
uclient-fetch-20210514-r0.apk | 10031 | 2024-Oct-25 19:09 |
ovos-messagebus-0.0.8-r0.apk | 10039 | 2024-Nov-25 12:41 |
perl-test-lwp-useragent-0.036-r0.apk | 10044 | 2024-Oct-25 19:08 |
perl-freezethaw-0.5001-r2.apk | 10056 | 2024-Oct-25 19:08 |
wch-isp-0.4.1-r2.apk | 10058 | 2024-Oct-25 19:09 |
perl-test-toolbox-0.4-r5.apk | 10070 | 2024-Oct-25 19:08 |
py3-synapse-auto-accept-invite-1.2.0-r0.apk | 10073 | 2024-Oct-25 19:08 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 10078 | 2024-Oct-25 19:08 |
perl-test-modern-doc-0.013-r3.apk | 10080 | 2024-Oct-25 19:08 |
tcc-0.9.27_git20250106-r0.apk | 10088 | 2025-Feb-04 17:20 |
py3-natpmp-pyc-1.3.2-r1.apk | 10095 | 2024-Oct-25 19:08 |
apt-dater-doc-1.0.4-r4.apk | 10112 | 2024-Oct-25 19:05 |
evolution-on-3.24.4-r0.apk | 10126 | 2024-Oct-30 14:24 |
perl-html-tableextract-doc-2.15-r4.apk | 10139 | 2024-Oct-25 19:08 |
libvoikko-dev-4.3.2-r1.apk | 10145 | 2024-Oct-25 19:07 |
py3-nose-timer-pyc-1.0.1-r6.apk | 10148 | 2024-Oct-25 19:08 |
py3-typing_inspect-0.9.0-r2.apk | 10153 | 2024-Oct-25 19:08 |
py3-proglog-pyc-0.1.10-r2.apk | 10159 | 2024-Oct-25 19:08 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 10163 | 2024-Oct-25 19:08 |
emacs-llama-0.3.1_git20240722-r0.apk | 10166 | 2024-Oct-25 19:07 |
ocaml-mirage-time-3.0.0-r4.apk | 10172 | 2024-Oct-25 19:07 |
perl-list-binarysearch-0.25-r0.apk | 10177 | 2024-Oct-25 19:08 |
openslide-tools-3.4.1-r3.apk | 10188 | 2024-Oct-25 19:08 |
tick-1.2.2-r0.apk | 10189 | 2025-Jan-14 01:26 |
perl-term-ui-0.50-r1.apk | 10206 | 2024-Oct-25 19:08 |
py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10206 | 2024-Oct-25 19:08 |
perl-starman-doc-0.4017-r0.apk | 10219 | 2024-Oct-25 19:08 |
mrsh-dev-0_git20210518-r1.apk | 10230 | 2024-Oct-25 19:07 |
fatrace-0.17.0-r0.apk | 10254 | 2024-Oct-25 19:07 |
py3-thefuzz-0.22.1-r1.apk | 10256 | 2024-Oct-25 19:08 |
py3-itunespy-1.6-r4.apk | 10257 | 2024-Oct-25 19:08 |
py3-aiohttp-remotes-1.3.0-r0.apk | 10265 | 2024-Nov-04 12:28 |
enjoy-0.3-r1.apk | 10266 | 2024-Oct-25 19:07 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10289 | 2024-Oct-25 19:05 |
py3-minidb-2.0.8-r0.apk | 10292 | 2024-Nov-13 18:52 |
py3-colorthief-pyc-0.2.1-r1.apk | 10293 | 2024-Oct-25 19:08 |
pixi-fish-completion-0.24.2-r0.apk | 10318 | 2024-Oct-25 19:08 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10325 | 2024-Oct-25 19:08 |
libdng-0.2.1-r0.apk | 10332 | 2024-Dec-27 22:09 |
ansible-bender-doc-0.10.1-r2.apk | 10335 | 2024-Oct-25 19:05 |
py3-pygtail-pyc-0.14.0-r3.apk | 10336 | 2024-Oct-25 19:08 |
flowd-doc-0.9.1-r10.apk | 10341 | 2024-Oct-25 19:07 |
knative-client-bash-completion-1.17.0-r0.apk | 10342 | 2025-Feb-05 22:08 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10345 | 2024-Oct-25 19:08 |
linuxptp-phc_ctl-4.4-r0.apk | 10368 | 2024-Nov-20 02:43 |
ptylie-0.2-r1.apk | 10368 | 2024-Oct-25 19:08 |
espeakup-0.90-r2.apk | 10372 | 2024-Oct-25 19:07 |
rofi-blocks-0.1.0-r0.apk | 10378 | 2024-Oct-25 19:08 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10416 | 2024-Oct-25 19:07 |
perl-system-command-doc-1.122-r0.apk | 10416 | 2024-Oct-25 19:08 |
uclient-20210514-r0.apk | 10438 | 2024-Oct-25 19:09 |
py3-pytest-metadata-3.1.1-r0.apk | 10440 | 2024-Oct-25 19:08 |
py3-bottle-session-1.0-r6.apk | 10441 | 2024-Oct-25 19:08 |
nullmailer-doc-2.2-r4.apk | 10462 | 2024-Oct-25 19:07 |
php81-posix-8.1.31-r0.apk | 10487 | 2024-Nov-20 02:43 |
toapk-1.0-r0.apk | 10505 | 2024-Oct-25 19:08 |
py3-glob2-0.7-r6.apk | 10516 | 2024-Oct-25 19:08 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10528 | 2024-Oct-25 19:08 |
py3-sstash-pyc-0.17-r9.apk | 10536 | 2024-Oct-25 19:08 |
perl-full-doc-1.004-r0.apk | 10541 | 2024-Oct-25 19:08 |
proot-doc-5.4.0-r1.apk | 10542 | 2024-Oct-25 19:08 |
alttab-doc-1.7.1-r0.apk | 10550 | 2024-Oct-25 19:05 |
ovos-phal-0.2.7-r0.apk | 10553 | 2024-Nov-21 13:31 |
nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10554 | 2024-Nov-11 04:07 |
snapper-dev-0.12.0-r0.apk | 10584 | 2024-Nov-20 02:43 |
shntool-doc-3.0.10-r4.apk | 10585 | 2024-Oct-25 19:08 |
spvm-thread-0.003-r0.apk | 10587 | 2025-Feb-04 17:20 |
py3-iniparse-doc-0.5-r7.apk | 10594 | 2024-Oct-25 19:08 |
lottieconverter-0.2_git20231219-r0.apk | 10604 | 2024-Oct-25 19:07 |
perl-pod-tidy-0.10-r1.apk | 10606 | 2024-Oct-25 19:08 |
php81-pecl-brotli-0.15.2-r0.apk | 10611 | 2024-Nov-08 20:37 |
neko-dev-2.3.0-r0.apk | 10635 | 2024-Nov-21 01:04 |
termbox-1.1.2-r1.apk | 10646 | 2024-Oct-25 19:08 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10673 | 2024-Dec-01 20:13 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10675 | 2024-Oct-25 19:08 |
pixi-zsh-completion-0.24.2-r0.apk | 10681 | 2024-Oct-25 19:08 |
py3-aiohttp-session-2.12.1-r0.apk | 10682 | 2024-Oct-25 19:08 |
perl-math-int64-doc-0.57-r1.apk | 10691 | 2024-Oct-25 19:08 |
emacs-embark-consult-1.0_git20240327-r0.apk | 10700 | 2024-Oct-25 19:07 |
dufs-doc-0.43.0-r0.apk | 10704 | 2025-Jan-25 07:03 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10711 | 2024-Oct-25 19:07 |
py3-bencode-pyc-4.0.0-r1.apk | 10713 | 2024-Oct-25 19:08 |
libcorkipset-1.1.1-r4.apk | 10724 | 2024-Oct-25 19:07 |
perl-minion-backend-redis-0.003-r0.apk | 10731 | 2024-Oct-25 19:08 |
tuned-utils-2.24.1-r1.apk | 10734 | 2025-Jan-27 15:48 |
perl-log-fu-0.31-r4.apk | 10735 | 2024-Oct-25 19:08 |
cpuburn-1.4a_git20160316-r2.apk | 10742 | 2024-Oct-25 19:06 |
lrcalc-2.1-r1.apk | 10743 | 2024-Oct-25 19:07 |
mediastreamer2-plugin-openh264-5.2.0_git20231020..> | 10751 | 2024-Oct-25 19:07 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10777 | 2024-Oct-25 19:08 |
perl-pod-tidy-doc-0.10-r1.apk | 10778 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10788 | 2024-Oct-25 19:08 |
ddserver-0_git20200930-r1.apk | 10789 | 2024-Oct-25 19:06 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10808 | 2024-Oct-25 19:08 |
ydcv-pyc-0.7-r8.apk | 10827 | 2024-Oct-25 19:09 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10834 | 2024-Oct-25 19:08 |
perl-log-message-0.08-r3.apk | 10836 | 2024-Oct-25 19:08 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10844 | 2024-Oct-25 19:08 |
ocaml-extlib-doc-1.7.9-r2.apk | 10848 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10854 | 2024-Oct-25 19:08 |
py3-rfc3987-pyc-1.3.8-r6.apk | 10854 | 2024-Oct-25 19:08 |
lomiri-schemas-0.1.7-r0.apk | 10864 | 2025-Jan-26 01:34 |
toss-1.1-r0.apk | 10873 | 2024-Oct-25 19:08 |
py3-py-radix-pyc-0.10.0-r10.apk | 10880 | 2024-Oct-25 19:08 |
tcc-libs-static-0.9.27_git20250106-r0.apk | 10887 | 2025-Feb-04 17:20 |
py3-businesstime-0.3.0-r9.apk | 10890 | 2024-Oct-25 19:08 |
qstardict-doc-2.0.2-r1.apk | 10893 | 2024-Nov-24 05:46 |
py3-sortedcollections-2.1.0-r5.apk | 10915 | 2024-Oct-25 19:08 |
setroot-2.0.2-r1.apk | 10923 | 2024-Oct-25 19:08 |
py3-pydes-2.0.1-r5.apk | 10939 | 2024-Oct-25 19:08 |
convert2json-doc-1.1.2-r0.apk | 10952 | 2024-Nov-30 11:17 |
perl-promise-es6-0.28-r0.apk | 10954 | 2024-Oct-25 19:08 |
py3-phpserialize-pyc-1.3-r8.apk | 10954 | 2024-Oct-25 19:08 |
py3-flask-flatpages-0.8.3-r0.apk | 10956 | 2024-Dec-06 22:57 |
tree-sitter-query-0.4.0-r0.apk | 10969 | 2025-Jan-10 18:41 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10970 | 2024-Oct-25 19:08 |
mcqd-1.0.0-r1.apk | 10979 | 2024-Oct-25 19:07 |
fff-2.2-r0.apk | 10995 | 2024-Oct-25 19:07 |
wlavu-0_git20201101-r1.apk | 11005 | 2024-Oct-25 19:09 |
dived-doc-1.9.0-r0.apk | 11007 | 2024-Oct-25 19:06 |
turn-rs-doc-3.3.3-r0.apk | 11020 | 2025-Jan-07 06:28 |
xvkbd-doc-4.1-r2.apk | 11031 | 2024-Oct-25 19:09 |
psi-notify-1.3.1-r0.apk | 11046 | 2024-Oct-25 19:08 |
py3-click-completion-0.5.2-r1.apk | 11051 | 2024-Oct-25 19:08 |
perl-netaddr-mac-0.98-r1.apk | 11074 | 2024-Oct-25 19:08 |
hsetroot-1.0.5-r1.apk | 11091 | 2024-Oct-25 19:07 |
ssss-0.5.7-r0.apk | 11097 | 2024-Oct-25 19:08 |
perl-math-random-doc-0.72-r0.apk | 11100 | 2024-Oct-25 19:08 |
perl-algorithm-permute-0.17-r0.apk | 11122 | 2024-Oct-25 19:08 |
harminv-libs-1.4.2-r1.apk | 11141 | 2024-Oct-25 19:07 |
perl-uri-db-0.23-r0.apk | 11148 | 2025-Jan-09 05:26 |
py3-u-msgpack-2.8.0-r2.apk | 11169 | 2024-Oct-25 19:08 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 11188 | 2024-Dec-01 17:24 |
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk | 11190 | 2024-Oct-25 19:08 |
mkdocs-ivory-0.4.6-r5.apk | 11194 | 2024-Oct-25 19:07 |
py3-rabbit-1.1.0-r8.apk | 11202 | 2024-Oct-25 19:08 |
thumbdrives-0.3.2-r2.apk | 11207 | 2024-Oct-25 19:08 |
py3-dataclasses-serialization-1.3.1-r3.apk | 11223 | 2024-Oct-25 19:08 |
py3-blockchain-1.4.4-r7.apk | 11231 | 2024-Oct-25 19:08 |
perl-getopt-long-descriptive-doc-0.116-r0.apk | 11253 | 2024-Dec-31 09:39 |
xwaylandvideobridge-lang-0.4.0-r1.apk | 11270 | 2024-Oct-25 19:09 |
nuzzle-1.6-r0.apk | 11279 | 2025-Jan-25 07:04 |
screenkey-doc-1.5-r6.apk | 11287 | 2024-Oct-25 19:08 |
lomiri-history-service-dev-0.6-r2.apk | 11324 | 2024-Dec-07 12:39 |
perl-glib-object-introspection-doc-0.051-r1.apk | 11324 | 2024-Oct-25 19:08 |
neard-dev-0.19-r0.apk | 11336 | 2024-Oct-25 19:07 |
py3-base58-2.1.1-r2.apk | 11356 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11368 | 2024-Oct-25 19:08 |
catcodec-1.0.5-r2.apk | 11388 | 2024-Oct-25 19:06 |
fzy-1.0-r3.apk | 11400 | 2024-Oct-25 19:07 |
php81-pecl-opentelemetry-1.1.2-r0.apk | 11403 | 2025-Jan-25 07:04 |
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk | 11420 | 2024-Nov-21 13:31 |
termbox-static-1.1.2-r1.apk | 11424 | 2024-Oct-25 19:08 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11426 | 2024-Oct-25 19:08 |
logtop-0.7-r0.apk | 11428 | 2024-Oct-25 19:07 |
py3-pam-2.0.2-r2.apk | 11457 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11458 | 2024-Oct-25 19:08 |
py3-lsp-mypy-pyc-0.6.8-r1.apk | 11462 | 2024-Oct-25 19:08 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11463 | 2024-Oct-25 19:08 |
perl-crypt-random-seed-0.03-r0.apk | 11488 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r..> | 11492 | 2024-Nov-21 13:31 |
php81-xmlwriter-8.1.31-r0.apk | 11509 | 2024-Nov-20 02:43 |
py3-itemadapter-0.10.0-r0.apk | 11522 | 2024-Nov-30 20:42 |
php81-pdo_dblib-8.1.31-r0.apk | 11524 | 2024-Nov-20 02:43 |
libcorkipset-tools-1.1.1-r4.apk | 11527 | 2024-Oct-25 19:07 |
mint-y-icons-doc-1.8.3-r0.apk | 11528 | 2025-Feb-05 22:11 |
octoprint-filecheck-pyc-2024.3.27-r1.apk | 11567 | 2024-Oct-25 19:08 |
php81-pecl-xhprof-2.3.10-r0.apk | 11577 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11595 | 2024-Oct-25 19:08 |
py3-vdf-3.4-r1.apk | 11596 | 2024-Oct-25 19:08 |
lrcalc-dev-2.1-r1.apk | 11607 | 2024-Oct-25 19:07 |
py3-ovos-ocp-news-plugin-0.0.4-r0.apk | 11611 | 2024-Oct-25 19:08 |
ip2location-dev-8.6.1-r0.apk | 11619 | 2024-Oct-25 19:07 |
perl-list-binarysearch-xs-0.09-r1.apk | 11628 | 2024-Oct-25 19:08 |
lychee-doc-0.15.1-r0.apk | 11632 | 2024-Oct-25 19:07 |
perl-test-file-1.994-r0.apk | 11634 | 2025-Jan-12 04:09 |
mm-dev-1.4.2-r1.apk | 11649 | 2024-Oct-25 19:07 |
dasht-doc-2.4.0-r0.apk | 11655 | 2024-Oct-25 19:06 |
perl-xml-bare-doc-0.53-r13.apk | 11657 | 2024-Oct-25 19:08 |
lomiri-content-hub-dev-2.0.0-r0.apk | 11664 | 2024-Oct-25 19:07 |
lizardfs-doc-3.13.0-r14.apk | 11664 | 2024-Dec-03 14:30 |
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11678 | 2024-Oct-25 19:08 |
perl-devel-confess-0.009004-r0.apk | 11680 | 2024-Oct-25 19:08 |
libecap-dev-1.0.1-r1.apk | 11703 | 2024-Oct-25 19:07 |
php81-tokenizer-8.1.31-r0.apk | 11706 | 2024-Nov-20 02:43 |
emacs-taxy-0.10.1-r0.apk | 11714 | 2024-Oct-25 19:07 |
py3-padacioso-0.2.1-r0.apk | 11721 | 2024-Oct-25 19:08 |
py3-halo-0.0.31-r5.apk | 11737 | 2024-Oct-25 19:08 |
py3-x-wr-timezone-2.0.0-r0.apk | 11738 | 2024-Dec-06 22:31 |
py3-pygelbooru-pyc-0.5.0-r4.apk | 11746 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk | 11751 | 2024-Oct-25 19:08 |
py3-downloader-cli-0.3.4-r1.apk | 11779 | 2024-Oct-25 19:08 |
lumina-desktop-doc-1.6.2-r0.apk | 11781 | 2024-Oct-25 19:07 |
restart-services-0.17.0-r0.apk | 11788 | 2024-Oct-25 19:08 |
mtree-portable-doc-0_git20220519-r0.apk | 11790 | 2024-Oct-25 19:07 |
perl-list-binarysearch-doc-0.25-r0.apk | 11796 | 2024-Oct-25 19:08 |
ddgr-doc-2.2-r0.apk | 11802 | 2024-Oct-25 19:06 |
gnome-common-3.18.0-r3.apk | 11808 | 2024-Oct-25 19:07 |
py3-lsp-mypy-0.6.8-r1.apk | 11828 | 2024-Oct-25 19:08 |
py3-crc16-0.1.1-r10.apk | 11828 | 2024-Oct-25 19:08 |
luksmeta-9-r0.apk | 11832 | 2024-Oct-25 19:07 |
py3-protego-pyc-0.3.1-r0.apk | 11834 | 2024-Nov-30 20:18 |
java-jtharness-doc-6.0_p12-r0.apk | 11842 | 2024-Oct-25 19:07 |
autoremove-torrents-doc-1.5.5-r0.apk | 11855 | 2024-Oct-25 19:05 |
php81-pdo_sqlite-8.1.31-r0.apk | 11880 | 2024-Nov-20 02:43 |
py3-ioctl-opt-1.3-r0.apk | 11899 | 2025-Jan-27 21:37 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11905 | 2024-Oct-25 19:08 |
wshowkeys-1.0-r0.apk | 11917 | 2024-Oct-25 19:09 |
minidyndns-1.3.0-r3.apk | 11923 | 2024-Oct-25 19:07 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 11967 | 2024-Oct-25 19:08 |
py3-bson-0.5.10-r6.apk | 11972 | 2024-Oct-25 19:08 |
py3-wgconfig-pyc-1.1.0-r0.apk | 11976 | 2025-Jan-29 06:49 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11995 | 2024-Oct-25 19:08 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 12014 | 2024-Oct-25 19:08 |
py3-recommonmark-0.7.1-r4.apk | 12026 | 2024-Oct-25 19:08 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 12056 | 2024-Oct-25 19:08 |
yamkix-pyc-0.10.0-r1.apk | 12057 | 2024-Oct-25 19:09 |
php81-pdo_odbc-8.1.31-r0.apk | 12065 | 2024-Nov-20 02:43 |
py3-pymsteams-0.2.3-r1.apk | 12071 | 2024-Oct-25 19:08 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 12094 | 2024-Oct-25 19:08 |
perl-system-command-1.122-r0.apk | 12100 | 2024-Oct-25 19:08 |
perl-ryu-async-doc-0.020-r0.apk | 12100 | 2024-Oct-25 19:08 |
tdrop-0.5.0-r0.apk | 12105 | 2024-Oct-25 19:08 |
ocaml-calendar-doc-2.04-r4.apk | 12131 | 2024-Oct-25 19:07 |
libmygpo-qt-dev-1.1.0-r2.apk | 12137 | 2024-Oct-25 19:07 |
tanidvr-dhav2mkv-1.4.1-r1.apk | 12138 | 2024-Oct-25 19:08 |
py3-orderedmultidict-1.0.1-r7.apk | 12151 | 2024-Oct-25 19:08 |
perl-test-roo-1.004-r3.apk | 12173 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 12204 | 2024-Nov-21 13:31 |
nlopt-dev-2.10.0-r0.apk | 12206 | 2025-Feb-06 04:42 |
ovpncc-0.1_rc1-r0.apk | 12233 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 12234 | 2024-Oct-25 19:08 |
py3-git-versioner-7.1-r1.apk | 12250 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12266 | 2024-Oct-25 19:08 |
perl-class-accessor-grouped-0.10014-r2.apk | 12282 | 2024-Oct-25 19:08 |
perl-promise-me-doc-0.5.0-r0.apk | 12298 | 2024-Oct-25 19:08 |
belcard-5.3.83-r0.apk | 12309 | 2024-Oct-25 19:05 |
pqiv-doc-2.12-r1.apk | 12315 | 2024-Oct-25 19:08 |
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk | 12330 | 2024-Nov-21 13:31 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12338 | 2024-Oct-25 19:07 |
perl-cli-osprey-doc-0.08-r0.apk | 12340 | 2024-Dec-28 04:54 |
perl-promise-es6-doc-0.28-r0.apk | 12342 | 2024-Oct-25 19:08 |
perl-file-rename-doc-2.02-r0.apk | 12353 | 2024-Oct-25 19:08 |
py3-requests-kerberos-0.15.0-r0.apk | 12394 | 2024-Dec-01 17:24 |
tldr-python-client-3.3.0-r0.apk | 12403 | 2024-Dec-01 17:09 |
py3-simber-0.2.6-r4.apk | 12406 | 2024-Oct-25 19:08 |
jdebp-redo-doc-1.4-r1.apk | 12410 | 2024-Oct-25 19:07 |
perl-nice-try-doc-1.3.15-r0.apk | 12410 | 2024-Nov-07 05:39 |
perl-datetime-format-flexible-doc-0.37-r0.apk | 12411 | 2024-Dec-31 13:26 |
perl-log-message-doc-0.08-r3.apk | 12415 | 2024-Oct-25 19:08 |
vim-airline-doc-0.11-r0.apk | 12419 | 2024-Oct-25 19:09 |
faultstat-0.01.11-r0.apk | 12426 | 2024-Oct-25 19:07 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12427 | 2024-Oct-25 19:08 |
logtop-libs-0.7-r0.apk | 12438 | 2024-Oct-25 19:07 |
py3-rst2ansi-0.1.5-r0.apk | 12453 | 2024-Oct-25 19:08 |
belcard-dev-5.3.83-r0.apk | 12464 | 2024-Oct-25 19:05 |
php81-tideways_xhprof-5.0.4-r1.apk | 12470 | 2024-Oct-25 19:08 |
gtk4-layer-shell-dev-1.1.0-r0.apk | 12477 | 2025-Feb-01 17:06 |
dislocker-0.7.3-r5.apk | 12512 | 2024-Oct-25 19:06 |
lsdvd-0.17-r0.apk | 12519 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-doxylink-1.12.3-r0.apk | 12523 | 2024-Dec-06 22:57 |
php81-pdo_mysql-8.1.31-r0.apk | 12524 | 2024-Nov-20 02:43 |
py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12530 | 2024-Oct-25 19:08 |
perl-ev-hiredis-0.07-r1.apk | 12536 | 2024-Oct-25 19:08 |
fungw-tcl-1.2.1-r0.apk | 12541 | 2024-Dec-30 09:48 |
xfd-1.1.4-r0.apk | 12545 | 2024-Oct-25 19:09 |
ocaml-mirage-net-4.0.0-r3.apk | 12557 | 2024-Oct-25 19:07 |
legume-doc-1.4.2-r5.apk | 12561 | 2025-Jan-25 07:04 |
py3-padacioso-pyc-0.2.1-r0.apk | 12570 | 2024-Oct-25 19:08 |
php81-xsl-8.1.31-r0.apk | 12580 | 2024-Nov-20 02:43 |
mp3val-0.1.8-r1.apk | 12600 | 2024-Oct-25 19:07 |
rgxg-doc-0.1.2-r2.apk | 12614 | 2024-Oct-25 19:08 |
nkk-0_git20221010-r0.apk | 12632 | 2024-Oct-25 19:07 |
ocaml-bigarray-compat-1.1.0-r2.apk | 12637 | 2024-Oct-25 19:07 |
vmtouch-1.3.1-r0.apk | 12639 | 2024-Oct-25 19:09 |
squeak-vm-doc-4.10.2.2614-r1.apk | 12640 | 2024-Oct-25 19:08 |
perl-daemon-control-0.001010-r2.apk | 12653 | 2024-Oct-25 19:08 |
py3-janus-1.2.0-r0.apk | 12655 | 2024-Dec-13 04:57 |
perl-anyevent-riperedis-0.48-r0.apk | 12661 | 2024-Oct-25 19:08 |
git-quick-stats-2.5.8-r0.apk | 12681 | 2024-Oct-25 19:07 |
py3-aiohttp-jinja2-1.6-r2.apk | 12683 | 2024-Oct-25 19:08 |
perl-xml-feed-doc-0.65-r0.apk | 12691 | 2024-Oct-25 19:08 |
php81-xmlreader-8.1.31-r0.apk | 12694 | 2024-Nov-20 02:43 |
opensmtpd-filter-dkimsign-0.6-r1.apk | 12700 | 2024-Oct-25 19:08 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12742 | 2024-Oct-25 19:08 |
py3-cobs-pyc-1.2.0-r4.apk | 12749 | 2024-Oct-25 19:08 |
php81-pecl-zstd-0.14.0-r0.apk | 12762 | 2024-Nov-06 14:44 |
readosm-1.1.0-r2.apk | 12778 | 2024-Oct-25 19:08 |
xosview-doc-1.24-r0.apk | 12799 | 2024-Oct-25 19:09 |
py3-venusian-pyc-3.1.1-r0.apk | 12800 | 2024-Dec-07 21:14 |
m2r2-0.3.3-r3.apk | 12802 | 2024-Oct-25 19:07 |
py3-itemloaders-1.3.2-r0.apk | 12807 | 2024-Oct-25 19:08 |
geomyidae-0.34-r2.apk | 12810 | 2024-Oct-25 19:07 |
perl-barcode-zbar-doc-0.10-r3.apk | 12862 | 2024-Oct-25 19:08 |
nvimpager-0.12.0-r0.apk | 12866 | 2024-Oct-25 19:07 |
py3-libiio-0.25-r2.apk | 12874 | 2024-Oct-25 19:08 |
geonames-doc-0.3.1-r2.apk | 12896 | 2024-Oct-25 19:07 |
perl-json-path-doc-1.0.6-r0.apk | 12899 | 2024-Oct-25 19:08 |
cmusfm-0.5.0-r0.apk | 12909 | 2024-Oct-25 19:06 |
clatd-1.6-r0.apk | 12911 | 2024-Oct-25 19:06 |
libhomfly-1.02_p6-r1.apk | 12914 | 2024-Oct-25 19:07 |
rtptools-doc-1.22-r2.apk | 12917 | 2024-Oct-25 19:08 |
gr-satellites-dev-5.5.0-r4.apk | 12959 | 2024-Dec-18 12:24 |
kubesplit-0.3.3-r1.apk | 12976 | 2024-Oct-25 19:07 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12976 | 2024-Nov-30 20:42 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12992 | 2024-Oct-25 19:08 |
fungw-1.2.1-r0.apk | 13000 | 2024-Dec-30 09:48 |
saait-doc-0.8-r0.apk | 13008 | 2024-Oct-25 19:08 |
php81-pcntl-8.1.31-r0.apk | 13012 | 2024-Nov-20 02:43 |
py3-wtf-peewee-3.0.6-r0.apk | 13018 | 2024-Oct-25 19:08 |
rinetd-0.73-r0.apk | 13028 | 2024-Oct-25 19:08 |
py3-flake8-builtins-2.5.0-r0.apk | 13037 | 2024-Dec-07 21:51 |
dooit-extras-0.2.0-r0.apk | 13038 | 2024-Dec-07 20:22 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 13044 | 2024-Oct-25 19:08 |
apk-autoupdate-0_git20210421-r1.apk | 13044 | 2024-Nov-20 02:43 |
perl-cli-osprey-0.08-r0.apk | 13058 | 2024-Dec-28 04:54 |
py3-flask-cache-0.13.1-r9.apk | 13076 | 2024-Oct-25 19:08 |
py3-queuelib-1.7.0-r0.apk | 13078 | 2024-Oct-25 19:08 |
libvisio2svg-0.5.5-r3.apk | 13078 | 2024-Oct-25 19:07 |
libecap-1.0.1-r1.apk | 13086 | 2024-Oct-25 19:07 |
py3-bitstruct-pyc-8.19.0-r1.apk | 13091 | 2024-Oct-25 19:08 |
irctk-transport-fossil-1.1.0-r0.apk | 13093 | 2024-Oct-25 19:07 |
glmark2-doc-2023.01-r1.apk | 13115 | 2024-Oct-25 19:07 |
imapfilter-doc-2.8.2-r0.apk | 13116 | 2024-Oct-25 19:07 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 13120 | 2024-Oct-25 19:08 |
utop-emacs-2.9.1-r4.apk | 13121 | 2024-Oct-25 19:09 |
darts-clone-dev-0_git20181117-r0.apk | 13121 | 2024-Oct-25 19:06 |
mint-themes-doc-2.1.1-r0.apk | 13129 | 2024-Oct-25 19:07 |
py3-incoming-0.3.1-r8.apk | 13135 | 2024-Oct-25 19:08 |
libcyaml-dev-1.4.2-r0.apk | 13163 | 2024-Oct-25 19:07 |
planarity-doc-3.0.2.0-r2.apk | 13169 | 2024-Oct-25 19:08 |
py3-rfc-bibtex-0.3.2-r7.apk | 13180 | 2024-Oct-25 19:08 |
devil-dev-1.8.0-r0.apk | 13185 | 2024-Oct-25 19:06 |
perl-email-abstract-doc-3.010-r0.apk | 13190 | 2024-Oct-25 19:08 |
crun-vm-doc-0.3.0-r0.apk | 13198 | 2024-Nov-12 11:34 |
catfish-doc-4.20.0-r0.apk | 13202 | 2024-Dec-27 19:25 |
fungw-doc-1.2.1-r0.apk | 13202 | 2024-Dec-30 09:48 |
py3-deluge-client-1.10.2-r0.apk | 13204 | 2024-Oct-25 19:08 |
fungw-lua-1.2.1-r0.apk | 13209 | 2024-Dec-30 09:48 |
wmctrl-1.07-r1.apk | 13214 | 2024-Oct-25 19:09 |
py3-glob2-pyc-0.7-r6.apk | 13218 | 2024-Oct-25 19:08 |
tree-sitter-git-commit-0_git20211225-r2.apk | 13244 | 2024-Oct-25 19:08 |
py3-telegram-text-pyc-0.2.0-r1.apk | 13266 | 2024-Oct-25 19:08 |
speedtest-examples-5.2.5-r1.apk | 13266 | 2024-Oct-25 19:08 |
perl-syntax-keyword-match-0.15-r0.apk | 13269 | 2024-Oct-25 19:08 |
kubesplit-pyc-0.3.3-r1.apk | 13274 | 2024-Oct-25 19:07 |
py3-pam-pyc-2.0.2-r2.apk | 13278 | 2024-Oct-25 19:08 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 13307 | 2024-Oct-25 19:08 |
makeself-2.5.0-r0.apk | 13312 | 2024-Oct-25 19:07 |
py3-wifi-0.3.8-r7.apk | 13321 | 2024-Oct-25 19:08 |
php81-calendar-8.1.31-r0.apk | 13327 | 2024-Nov-20 02:43 |
libhwpwm-doc-0.4.4-r0.apk | 13335 | 2024-Oct-25 19:07 |
rustic-zsh-completion-0.9.3-r0.apk | 13340 | 2024-Oct-25 19:08 |
perl-list-keywords-0.11-r0.apk | 13341 | 2024-Oct-25 19:08 |
py3-spidev-3.6-r1.apk | 13348 | 2024-Oct-25 19:08 |
py3-pdal-pyc-3.4.5-r0.apk | 13348 | 2024-Nov-05 21:52 |
py3-riotctrl-0.5.0-r4.apk | 13351 | 2024-Oct-25 19:08 |
py3-keepalive-pyc-0.5-r5.apk | 13359 | 2024-Oct-25 19:08 |
spvm-mime-base64-1.003-r0.apk | 13381 | 2025-Feb-04 17:20 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13384 | 2024-Oct-25 19:08 |
py3-discid-pyc-1.2.0-r6.apk | 13386 | 2024-Oct-25 19:08 |
py3-flask-migrate-4.0.7-r0.apk | 13400 | 2024-Oct-25 19:08 |
apt-dater-lang-1.0.4-r4.apk | 13448 | 2024-Oct-25 19:05 |
mautrix-discord-doc-0.7.2-r1.apk | 13457 | 2025-Jan-25 07:04 |
py3-phx-class-registry-5.0.0-r0.apk | 13459 | 2024-Oct-25 19:08 |
mautrix-twitter-doc-0.2.1-r1.apk | 13464 | 2025-Jan-25 07:04 |
mautrix-bluesky-doc-0.1.0-r1.apk | 13466 | 2025-Jan-25 07:04 |
hexdiff-0.0.53-r2.apk | 13478 | 2024-Oct-25 19:07 |
py3-pydes-pyc-2.0.1-r5.apk | 13488 | 2024-Oct-25 19:08 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13509 | 2024-Oct-25 19:08 |
barrier-doc-2.4.0-r1.apk | 13517 | 2024-Oct-25 19:05 |
hx-1.0.15-r0.apk | 13533 | 2024-Oct-25 19:07 |
aptdec-libs-1.8.0-r0.apk | 13566 | 2024-Oct-25 19:05 |
biometryd-dev-0.3.1-r6.apk | 13599 | 2024-Nov-12 19:41 |
rgxg-0.1.2-r2.apk | 13622 | 2024-Oct-25 19:08 |
startup-tools-2.0.3-r5.apk | 13628 | 2024-Oct-25 19:08 |
py3-janus-pyc-1.2.0-r0.apk | 13655 | 2024-Dec-13 04:57 |
py3-asif-0.3.2-r3.apk | 13666 | 2024-Oct-25 19:08 |
libiio-dev-0.25-r2.apk | 13672 | 2024-Oct-25 19:07 |
par-1.53.0-r1.apk | 13681 | 2024-Oct-25 19:08 |
mpdcron-doc-0.3-r1.apk | 13688 | 2024-Oct-25 19:07 |
gnome-user-share-47.0-r0.apk | 13696 | 2024-Oct-25 19:07 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13710 | 2024-Oct-25 19:08 |
libsimpleble-c-0.6.1-r2.apk | 13720 | 2024-Dec-14 19:23 |
perl-starman-0.4017-r0.apk | 13738 | 2024-Oct-25 19:08 |
tree-sitter-just-0_git20230318-r0.apk | 13752 | 2024-Oct-25 19:08 |
perl-net-jabber-bot-2.1.7-r0.apk | 13795 | 2024-Oct-25 19:08 |
ff2mpv-rust-doc-1.1.5-r0.apk | 13833 | 2025-Jan-04 22:30 |
logc-argp-0.5.0-r0.apk | 13834 | 2024-Oct-25 19:07 |
py3-git-versioner-pyc-7.1-r1.apk | 13836 | 2024-Oct-25 19:08 |
pipeline-doc-2.1.1-r0.apk | 13837 | 2025-Feb-04 17:20 |
ocaml-randomconv-0.1.3-r2.apk | 13872 | 2024-Oct-25 19:08 |
py3-unidns-0.0.1-r2.apk | 13877 | 2024-Oct-25 19:08 |
hikari-doc-2.3.3-r6.apk | 13881 | 2024-Oct-25 19:07 |
seaweedfs-doc-3.80-r1.apk | 13887 | 2025-Jan-25 07:04 |
mpdris2-rs-doc-0.2.3-r0.apk | 13901 | 2024-Oct-25 19:07 |
afetch-doc-2.2.0-r1.apk | 13906 | 2024-Oct-25 19:05 |
py3-telegram-0.18.0-r3.apk | 13920 | 2024-Oct-25 19:08 |
xed-dev-3.8.2-r0.apk | 13926 | 2025-Feb-05 22:11 |
py3-linux-procfs-0.7.3-r0.apk | 13928 | 2025-Jan-13 21:19 |
py3-bite-parser-0.2.5-r0.apk | 13967 | 2024-Oct-28 21:51 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13981 | 2024-Dec-06 22:57 |
perl-cpan-changes-0.500004-r0.apk | 13983 | 2024-Oct-25 19:08 |
peg-doc-0.1.18-r1.apk | 13991 | 2024-Oct-25 19:08 |
slurm-0.4.4-r0.apk | 13995 | 2024-Oct-25 19:08 |
bwrap-oci-0.2-r1.apk | 14022 | 2024-Oct-25 19:06 |
wabt-doc-1.0.36-r0.apk | 14029 | 2024-Oct-25 19:09 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 14032 | 2024-Oct-25 19:07 |
sacc-1.07-r0.apk | 14035 | 2024-Oct-25 19:08 |
mcman-doc-0.4.5-r0.apk | 14042 | 2024-Oct-25 19:07 |
steghide-doc-0.5.1.1-r0.apk | 14049 | 2024-Oct-25 19:08 |
sandbar-0.1-r0.apk | 14062 | 2024-Oct-25 19:08 |
py3-wifi-pyc-0.3.8-r7.apk | 14067 | 2024-Oct-25 19:08 |
aoetools-doc-37-r2.apk | 14080 | 2025-Jan-14 13:44 |
aide-doc-0.18.8-r0.apk | 14110 | 2024-Oct-25 19:05 |
xcompmgr-1.1.9-r0.apk | 14117 | 2024-Oct-25 19:09 |
perl-net-netmask-2.0002-r2.apk | 14141 | 2024-Oct-25 19:08 |
libmdf-dev-1.0.29-r0.apk | 14150 | 2024-Oct-25 19:07 |
perl-indirect-0.39-r1.apk | 14153 | 2024-Oct-25 19:08 |
php83-pecl-vld-0.18.0-r1.apk | 14160 | 2024-Oct-25 19:08 |
fnf-0.1-r0.apk | 14167 | 2024-Oct-25 19:07 |
perl-xml-feed-0.65-r0.apk | 14179 | 2024-Oct-25 19:08 |
tuptime-5.2.4-r1.apk | 14182 | 2024-Nov-28 22:44 |
tmux-resurrect-4.0.0-r0.apk | 14183 | 2024-Oct-25 19:08 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 14199 | 2024-Oct-25 19:08 |
ocaml-seq-0.3.1-r2.apk | 14227 | 2024-Oct-25 19:08 |
py3-pprintpp-0.4.0-r1.apk | 14240 | 2024-Oct-25 19:08 |
perl-cairo-doc-1.109-r4.apk | 14248 | 2024-Oct-25 19:08 |
py3-halo-pyc-0.0.31-r5.apk | 14255 | 2024-Oct-25 19:08 |
perl-database-async-engine-postgresql-1.005-r0.apk | 14275 | 2024-Oct-25 19:08 |
ocaml-hkdf-1.0.4-r2.apk | 14281 | 2024-Oct-25 19:07 |
perl-graphql-client-doc-0.605-r0.apk | 14292 | 2024-Oct-25 19:08 |
ideviceinstaller-1.1.1-r4.apk | 14294 | 2024-Oct-30 22:44 |
tere-doc-1.6.0-r0.apk | 14319 | 2024-Oct-25 19:08 |
perl-snmp-doc-5.0404-r13.apk | 14330 | 2024-Oct-25 19:08 |
amdgpu-fan-0.1.0-r5.apk | 14349 | 2024-Oct-25 19:05 |
xiccd-0.3.0_git20211219-r1.apk | 14381 | 2024-Oct-25 19:09 |
autotrash-pyc-0.4.7-r0.apk | 14393 | 2024-Oct-25 19:05 |
qdjango-dev-0.6.2-r1.apk | 14394 | 2024-Oct-25 19:08 |
gammastep-doc-2.0.9-r3.apk | 14399 | 2024-Oct-25 19:07 |
sqlar-0_git20180107-r1.apk | 14402 | 2024-Oct-25 19:08 |
cddlib-dev-0.94m-r2.apk | 14407 | 2024-Oct-25 19:06 |
ledmon-doc-1.0.0-r0.apk | 14411 | 2024-Oct-25 19:07 |
rtmidi-dev-6.0.0-r0.apk | 14434 | 2024-Oct-25 19:08 |
py3-pyscreeze-0.1.29-r3.apk | 14447 | 2024-Oct-25 19:08 |
subdl-pyc-0_git20230616-r1.apk | 14459 | 2024-Oct-25 19:08 |
php82-pecl-vld-0.18.0-r0.apk | 14473 | 2024-Oct-25 19:08 |
py3-editdistance-s-1.0.0-r6.apk | 14481 | 2024-Oct-25 19:08 |
zarchive-0.1.2-r2.apk | 14492 | 2024-Oct-25 19:09 |
py3-euclid3-0.01-r8.apk | 14510 | 2024-Oct-25 19:08 |
tldr-python-client-pyc-3.3.0-r0.apk | 14513 | 2024-Dec-01 17:09 |
py3-sphinx-argparse-0.5.2-r0.apk | 14524 | 2024-Oct-25 19:08 |
py3-firmata-1.0.3-r10.apk | 14524 | 2024-Oct-25 19:08 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14532 | 2024-Oct-25 19:08 |
mspdebug-doc-0.25-r1.apk | 14556 | 2024-Oct-25 19:07 |
dasht-2.4.0-r0.apk | 14557 | 2024-Oct-25 19:06 |
watchdog-doc-5.16-r2.apk | 14559 | 2024-Oct-25 19:09 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14571 | 2024-Oct-25 19:08 |
yamkix-0.10.0-r1.apk | 14572 | 2024-Oct-25 19:09 |
dropwatch-1.5.4-r6.apk | 14576 | 2024-Oct-25 19:07 |
linuxptp-timemaster-4.4-r0.apk | 14577 | 2024-Nov-20 02:43 |
py3-click-completion-pyc-0.5.2-r1.apk | 14587 | 2024-Oct-25 19:08 |
tang-15-r0.apk | 14604 | 2025-Jan-25 07:04 |
py3-downloader-cli-pyc-0.3.4-r1.apk | 14607 | 2024-Oct-25 19:08 |
fungw-mujs-1.2.1-r0.apk | 14613 | 2024-Dec-30 09:48 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14616 | 2024-Oct-25 19:08 |
libzvbi-dev-0.2.43-r0.apk | 14637 | 2024-Dec-07 20:32 |
tinycbor-0.6.0-r1.apk | 14640 | 2024-Oct-25 19:08 |
perl-bytes-random-secure-0.29-r0.apk | 14642 | 2024-Oct-25 19:08 |
mm-doc-1.4.2-r1.apk | 14657 | 2024-Oct-25 19:07 |
py3-us-3.2.0-r0.apk | 14658 | 2024-Oct-25 19:08 |
aprilsh-doc-0.7.12-r1.apk | 14691 | 2025-Jan-25 07:03 |
sqawk-0.24.0-r0.apk | 14692 | 2024-Oct-25 19:08 |
py3-venusian-3.1.1-r0.apk | 14698 | 2024-Dec-07 21:14 |
wlclock-1.0.1-r0.apk | 14704 | 2024-Oct-25 19:09 |
mdnsd-doc-0.12-r1.apk | 14705 | 2024-Oct-25 19:07 |
emacs-closql-1.2.1_git20240712-r0.apk | 14711 | 2024-Oct-25 19:07 |
php81-pecl-mcrypt-1.0.7-r0.apk | 14745 | 2024-Oct-25 19:08 |
mangr0ve-doc-0.1.2-r0.apk | 14746 | 2024-Oct-25 19:07 |
listenbrainz-mpd-doc-2.3.8-r0.apk | 14748 | 2024-Oct-25 19:07 |
gmid-doc-2.1.1-r0.apk | 14792 | 2024-Nov-27 19:26 |
mdp-1.0.15-r1.apk | 14856 | 2024-Oct-25 19:07 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14861 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-system-1.0.1-r0.apk | 14863 | 2024-Nov-21 13:31 |
throttled-0.10.0-r1.apk | 14902 | 2024-Dec-15 19:22 |
perl-test-files-doc-0.26-r0.apk | 14926 | 2024-Oct-25 19:08 |
xmppipe-0.16.0-r1.apk | 14939 | 2024-Oct-25 19:09 |
boson-0_git20211219-r0.apk | 14943 | 2024-Oct-25 19:06 |
perl-test-modern-0.013-r3.apk | 14952 | 2024-Oct-25 19:08 |
b2sum-20190729-r2.apk | 14971 | 2024-Oct-25 19:05 |
emacs-fossil-0_git20230504-r0.apk | 14981 | 2024-Oct-25 19:07 |
perl-getopt-long-descriptive-0.116-r0.apk | 14985 | 2024-Dec-31 09:39 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 15009 | 2024-Oct-25 19:08 |
py3-fuzzywuzzy-pyc-0.18.0-r7.apk | 15026 | 2024-Oct-25 19:08 |
perl-dbix-connector-0.60-r0.apk | 15098 | 2024-Dec-30 06:37 |
cargo-flamegraph-doc-0.6.7-r0.apk | 15101 | 2025-Jan-13 11:49 |
git-secret-0.5.0-r0.apk | 15108 | 2024-Oct-25 19:07 |
py3-urlobject-2.4.3-r9.apk | 15113 | 2024-Oct-25 19:08 |
py3-itunespy-pyc-1.6-r4.apk | 15120 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 15130 | 2024-Oct-25 19:07 |
fungw-duktape-1.2.1-r0.apk | 15131 | 2024-Dec-30 09:48 |
py3-pockethernet-0.7.0-r4.apk | 15137 | 2024-Oct-25 19:08 |
xmag-1.0.8-r0.apk | 15141 | 2024-Oct-25 19:09 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 15148 | 2024-Oct-25 19:08 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 15151 | 2024-Oct-25 19:08 |
mkg3a-0.5.0-r1.apk | 15168 | 2024-Oct-25 19:07 |
perl-number-tolerant-1.710-r0.apk | 15171 | 2024-Oct-25 19:08 |
virter-doc-0.28.1-r1.apk | 15179 | 2025-Jan-25 07:04 |
commoncpp-doc-7.0.1-r1.apk | 15185 | 2024-Oct-25 19:06 |
lua5.4-linenoise-0.9-r1.apk | 15190 | 2024-Oct-25 19:07 |
lua5.1-linenoise-0.9-r1.apk | 15191 | 2024-Oct-25 19:07 |
py3-pybars3-0.9.7-r6.apk | 15199 | 2024-Oct-25 19:08 |
lua5.3-linenoise-0.9-r1.apk | 15211 | 2024-Oct-25 19:07 |
mjpg-streamer-input-raspicam-0_git20210220-r1.apk | 15212 | 2024-Oct-25 19:07 |
lua5.2-linenoise-0.9-r1.apk | 15221 | 2024-Oct-25 19:07 |
mpdris2-doc-0.9.1-r3.apk | 15226 | 2024-Oct-25 19:07 |
sopwith-doc-2.5.0-r0.apk | 15227 | 2024-Oct-25 19:08 |
belr-dev-5.3.83-r0.apk | 15230 | 2024-Oct-25 19:05 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0-..> | 15262 | 2024-Oct-25 19:08 |
refine-lang-0.4.4-r0.apk | 15296 | 2025-Feb-05 21:25 |
vile-doc-9.8z-r0.apk | 15334 | 2024-Oct-25 19:09 |
php81-bcmath-8.1.31-r0.apk | 15334 | 2024-Nov-20 02:43 |
py3-pygtail-0.14.0-r3.apk | 15346 | 2024-Oct-25 19:08 |
perl-datetime-timezone-catalog-extend-doc-0.3.3-..> | 15391 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15394 | 2024-Oct-25 19:08 |
perl-glib-ex-objectbits-17-r0.apk | 15409 | 2024-Oct-25 19:08 |
libideviceactivation-1.1.1-r5.apk | 15420 | 2024-Oct-30 22:44 |
libbraiding-dev-1.3.1-r0.apk | 15431 | 2024-Nov-20 02:43 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15440 | 2024-Oct-25 19:08 |
paperkey-1.6-r2.apk | 15466 | 2024-Oct-25 19:08 |
py3-snapshottest-0.6.0-r5.apk | 15478 | 2024-Oct-25 19:08 |
py3-jaraco.vcs-pyc-2.4.0-r0.apk | 15493 | 2024-Oct-25 19:08 |
spvm-errno-0.093-r0.apk | 15517 | 2025-Jan-25 07:04 |
py3-intervals-pyc-0.9.2-r5.apk | 15526 | 2024-Oct-25 19:08 |
mpdris2-0.9.1-r3.apk | 15552 | 2024-Oct-25 19:07 |
py3-us-pyc-3.2.0-r0.apk | 15553 | 2024-Oct-25 19:08 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 15563 | 2024-Oct-25 19:08 |
fatresize-doc-1.1.0-r1.apk | 15564 | 2024-Oct-25 19:07 |
dfl-login1-0.2.0-r0.apk | 15567 | 2024-Oct-25 19:06 |
kerberoast-pyc-0.2.0-r1.apk | 15570 | 2024-Oct-25 19:07 |
mdnsd-static-0.12-r1.apk | 15593 | 2024-Oct-25 19:07 |
chasquid-doc-1.15.0-r0.apk | 15603 | 2025-Jan-25 07:03 |
perl-number-format-1.76-r1.apk | 15604 | 2024-Oct-25 19:08 |
xa-doc-2.3.14-r0.apk | 15620 | 2024-Oct-25 19:09 |
libhomfly-dev-1.02_p6-r1.apk | 15624 | 2024-Oct-25 19:07 |
mdnsd-libs-0.12-r1.apk | 15633 | 2024-Oct-25 19:07 |
perl-dns-unbound-doc-0.29-r1.apk | 15644 | 2024-Oct-25 19:08 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15652 | 2024-Oct-25 19:08 |
blip-0.10-r0.apk | 15662 | 2024-Oct-25 19:05 |
py3-sphinxcontrib-spelling-8.0.0-r3.apk | 15717 | 2024-Oct-25 19:08 |
py3-flake8-import-order-0.18.2-r4.apk | 15778 | 2024-Oct-25 19:08 |
py3-teletype-1.3.4-r3.apk | 15778 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 15786 | 2024-Oct-25 19:07 |
xva-img-1.5-r0.apk | 15805 | 2024-Oct-25 19:09 |
perl-test-roo-doc-1.004-r3.apk | 15815 | 2024-Oct-25 19:08 |
gssdp-dev-1.6.3-r0.apk | 15828 | 2024-Dec-01 12:57 |
py3-googletrans-3.0.0-r5.apk | 15845 | 2024-Oct-25 19:08 |
py3-rabbit-pyc-1.1.0-r8.apk | 15859 | 2024-Oct-25 19:08 |
xvidtune-1.0.4-r0.apk | 15861 | 2024-Oct-25 19:09 |
emacs-hackernews-0.7.0-r0.apk | 15873 | 2024-Oct-25 19:07 |
xkb-switch-1.8.5-r0.apk | 15887 | 2024-Oct-25 19:09 |
gsimplecal-2.5.1-r0.apk | 15908 | 2024-Oct-25 19:07 |
zita-resampler-1.10.1-r0.apk | 15957 | 2024-Oct-25 19:09 |
m2r2-pyc-0.3.3-r3.apk | 15977 | 2024-Oct-25 19:07 |
py3-lib_users-0.15-r4.apk | 15994 | 2024-Oct-25 19:08 |
py3-unicorn-hat-2.1.2-r6.apk | 16005 | 2024-Nov-06 09:41 |
libopensmtpd-0.7-r0.apk | 16023 | 2024-Oct-25 19:07 |
perl-future-http-doc-0.17-r0.apk | 16048 | 2024-Oct-25 19:08 |
epr-2.4.15-r1.apk | 16077 | 2024-Oct-25 19:07 |
blackbox-1.20220610-r1.apk | 16082 | 2024-Oct-25 19:05 |
perl-archive-extract-0.88-r1.apk | 16104 | 2024-Oct-25 19:08 |
ocaml-trie-1.0.0-r2.apk | 16107 | 2024-Oct-25 19:08 |
py3-ciso8601-2.3.1-r1.apk | 16117 | 2024-Oct-25 19:08 |
dvdbackup-0.4.2-r1.apk | 16129 | 2024-Oct-25 19:07 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 16140 | 2024-Oct-25 19:08 |
ocaml-fileutils-doc-0.6.4-r2.apk | 16155 | 2024-Oct-25 19:07 |
zfsbootmenu-doc-2.3.0-r1.apk | 16174 | 2024-Oct-25 19:09 |
ampy-1.1.0-r5.apk | 16182 | 2024-Oct-25 19:05 |
zile-doc-2.6.2-r1.apk | 16187 | 2024-Oct-25 19:09 |
py3-pytest-regtest-2.3.2-r0.apk | 16188 | 2024-Nov-13 23:16 |
hyx-2024.02.29-r0.apk | 16198 | 2024-Oct-25 19:07 |
please-doc-0.5.5-r0.apk | 16215 | 2024-Oct-25 19:08 |
py3-kerberos-1.3.1-r5.apk | 16234 | 2024-Oct-25 19:08 |
visidata-doc-2.11.1-r2.apk | 16244 | 2024-Oct-25 19:09 |
znc-clientbuffer-1.0.48-r8.apk | 16254 | 2024-Oct-25 19:09 |
perl-xml-atom-doc-0.43-r0.apk | 16271 | 2024-Oct-25 19:08 |
perl-mojo-sqlite-3.009-r0.apk | 16317 | 2024-Oct-25 19:08 |
py3-testresources-pyc-2.0.1-r6.apk | 16333 | 2024-Oct-25 19:08 |
py3-rpio-pyc-0.10.1-r8.apk | 16334 | 2024-Oct-25 19:08 |
coccinelle-doc-1.1.1-r2.apk | 16357 | 2024-Oct-25 19:06 |
freealut-1.1.0-r1.apk | 16385 | 2024-Oct-25 19:07 |
kodi-game-libretro-theodore-0.0.1.32-r0.apk | 16407 | 2024-Oct-25 19:07 |
py3-cobs-1.2.0-r4.apk | 16422 | 2024-Oct-25 19:08 |
wok-lang-3.0.0-r6.apk | 16428 | 2024-Oct-25 19:09 |
zsh-fzf-tab-0_git20220331-r1.apk | 16437 | 2024-Oct-25 19:09 |
fatback-doc-1.3-r2.apk | 16470 | 2024-Oct-25 19:07 |
predict-doc-2.3.1-r0.apk | 16470 | 2024-Nov-24 05:46 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16534 | 2024-Oct-25 19:09 |
py3-lzo-1.16-r1.apk | 16569 | 2024-Oct-25 19:08 |
llmnrd-0.7-r1.apk | 16576 | 2024-Oct-25 19:07 |
perl-json-path-1.0.6-r0.apk | 16582 | 2024-Oct-25 19:08 |
pegasus-frontend-doc-16_alpha-r0.apk | 16616 | 2024-Oct-25 19:08 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16621 | 2024-Oct-25 19:08 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16669 | 2024-Oct-25 19:08 |
libemf2svg-utils-1.1.0-r2.apk | 16684 | 2024-Oct-25 19:07 |
py3-yara-4.5.1-r0.apk | 16691 | 2024-Oct-25 19:08 |
irctk-doc-1.1.0-r0.apk | 16696 | 2024-Oct-25 19:07 |
php81-iconv-8.1.31-r0.apk | 16707 | 2024-Nov-20 02:43 |
perl-git-repository-1.325-r0.apk | 16715 | 2024-Oct-25 19:08 |
py3-barcodenumber-0.2.1-r10.apk | 16718 | 2024-Oct-25 19:08 |
py3-businesstime-pyc-0.3.0-r9.apk | 16730 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk | 16776 | 2024-Dec-06 22:57 |
py3-simber-pyc-0.2.6-r4.apk | 16783 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 16803 | 2024-Oct-25 19:07 |
rinetd-doc-0.73-r0.apk | 16821 | 2024-Oct-25 19:08 |
py3-aiosasl-doc-0.5.0-r4.apk | 16849 | 2024-Oct-25 19:08 |
py3-flask-mailman-1.1.1-r0.apk | 16866 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16866 | 2024-Oct-25 19:08 |
py3-ppk2-api-0.9.2-r0.apk | 16878 | 2024-Nov-30 21:08 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16884 | 2024-Oct-25 19:08 |
wf-config-dev-0.8.0-r1.apk | 16887 | 2024-Oct-25 19:09 |
gkrellm-dev-2.3.11-r0.apk | 16900 | 2025-Jan-08 22:36 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16905 | 2024-Nov-30 21:08 |
hyfetch-doc-1.99.0-r1.apk | 16908 | 2024-Oct-25 19:07 |
startup-lang-2.0.3-r5.apk | 16940 | 2024-Oct-25 19:08 |
perl-sql-abstract-more-doc-1.43-r0.apk | 16941 | 2024-Dec-30 06:37 |
py3-vdf-pyc-3.4-r1.apk | 16954 | 2024-Oct-25 19:08 |
py3-itemloaders-pyc-1.3.2-r0.apk | 16958 | 2024-Oct-25 19:08 |
bootterm-0.5-r0.apk | 16959 | 2024-Oct-25 19:06 |
py3-pylru-1.2.1-r1.apk | 16967 | 2024-Oct-25 19:08 |
perl-http-xsheaders-0.400005-r1.apk | 16976 | 2024-Oct-25 19:08 |
git-bug-doc-0.8.0-r15.apk | 16981 | 2025-Jan-25 07:03 |
py3-funcparserlib-1.0.1-r4.apk | 17021 | 2024-Oct-25 19:08 |
php81-pecl-yaml-2.2.4-r0.apk | 17035 | 2024-Oct-25 19:08 |
rustic-fish-completion-0.9.3-r0.apk | 17068 | 2024-Oct-25 19:08 |
py3-wsgiprox-1.5.2-r1.apk | 17080 | 2024-Oct-25 19:08 |
hping3-doc-20051105-r4.apk | 17086 | 2024-Oct-25 19:07 |
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 17118 | 2024-Oct-25 19:07 |
perl-getopt-tabular-doc-0.3-r4.apk | 17124 | 2024-Oct-25 19:08 |
junit2html-31.0.2-r0.apk | 17133 | 2024-Oct-25 19:07 |
py3-pymeta3-0.5.1-r6.apk | 17145 | 2024-Oct-25 19:08 |
perl-adapter-async-doc-0.019-r0.apk | 17151 | 2024-Oct-25 19:08 |
musikcube-plugin-stockencoders-3.0.4-r1.apk | 17176 | 2025-Jan-26 19:29 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 17177 | 2024-Oct-25 19:08 |
repo-2.50.1-r0.apk | 17182 | 2024-Dec-20 20:12 |
plzip-doc-1.12-r0.apk | 17191 | 2025-Jan-15 08:35 |
hexedit-1.6_git20230905-r0.apk | 17221 | 2024-Oct-25 19:07 |
php81-pecl-decimal-1.5.0-r1.apk | 17229 | 2024-Oct-25 19:08 |
lomiri-download-manager-dev-0.1.3-r4.apk | 17233 | 2025-Jan-26 01:34 |
py3-pybars3-pyc-0.9.7-r6.apk | 17240 | 2024-Oct-25 19:08 |
libmrss-0.19.2-r1.apk | 17249 | 2024-Oct-25 19:07 |
py3-proxmoxer-2.2.0-r0.apk | 17261 | 2024-Dec-16 11:36 |
tkts-2.0-r0.apk | 17274 | 2024-Oct-25 19:08 |
gammastep-pyc-2.0.9-r3.apk | 17282 | 2024-Oct-25 19:07 |
libtinycbor-0.6.0-r1.apk | 17352 | 2024-Oct-25 19:07 |
py3-py-radix-0.10.0-r10.apk | 17362 | 2024-Oct-25 19:08 |
ocp-indent-doc-1.8.2-r2.apk | 17362 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk | 17373 | 2024-Oct-25 19:08 |
znc-playback-0_git20210503-r8.apk | 17388 | 2024-Oct-25 19:09 |
droidcam-2.1.3-r1.apk | 17390 | 2024-Nov-08 21:25 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17415 | 2024-Oct-25 19:07 |
gtklock-4.0.0-r0.apk | 17425 | 2025-Feb-01 17:06 |
py3-dpath-2.2.0-r0.apk | 17433 | 2024-Oct-25 19:08 |
gpscorrelate-lang-2.2-r0.apk | 17447 | 2024-Nov-12 11:07 |
readosm-dev-1.1.0-r2.apk | 17453 | 2024-Oct-25 19:08 |
git-secret-doc-0.5.0-r0.apk | 17476 | 2024-Oct-25 19:07 |
py3-svgpath-6.3-r3.apk | 17483 | 2024-Oct-25 19:08 |
reaction-tools-1.4.1-r2.apk | 17483 | 2025-Jan-25 07:04 |
py3-bencode-4.0.0-r1.apk | 17501 | 2024-Oct-25 19:08 |
emacs-sqlite3-api-0.18-r0.apk | 17533 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17539 | 2024-Oct-25 19:08 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17615 | 2024-Oct-25 19:08 |
twemproxy-doc-0.5.0-r0.apk | 17619 | 2024-Oct-25 19:09 |
libcyaml-1.4.2-r0.apk | 17620 | 2024-Oct-25 19:07 |
wlrobs-1.0-r4.apk | 17628 | 2024-Oct-25 19:09 |
arc-metacity-20221218-r0.apk | 17663 | 2024-Oct-25 19:05 |
snapraid-doc-12.3-r0.apk | 17674 | 2024-Oct-25 19:08 |
py3-findpython-0.6.2-r0.apk | 17678 | 2024-Oct-25 19:08 |
py3-actdiag-3.0.0-r5.apk | 17686 | 2024-Oct-25 19:08 |
arc-lighter-metacity-20221218-r0.apk | 17702 | 2024-Oct-25 19:05 |
emacs-taxy-magit-section-0.13-r0.apk | 17709 | 2024-Oct-25 19:07 |
py3-truststore-0.10.0-r0.apk | 17719 | 2024-Oct-25 19:08 |
php81-pecl-psr-1.2.0-r0.apk | 17753 | 2024-Oct-25 19:08 |
py3-testresources-2.0.1-r6.apk | 17766 | 2024-Oct-25 19:08 |
libnxml-0.18.3-r0.apk | 17789 | 2024-Oct-25 19:07 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17825 | 2024-Oct-25 19:08 |
py3-tls_parser-pyc-2.0.1-r1.apk | 17841 | 2024-Oct-25 19:08 |
py3-googletrans-pyc-3.0.0-r5.apk | 17842 | 2024-Oct-25 19:08 |
ocaml-pbkdf-1.2.0-r2.apk | 17843 | 2024-Oct-25 19:07 |
cvs-fast-export-doc-1.65-r0.apk | 17843 | 2024-Oct-25 19:06 |
libfort-dev-0.4.2-r0.apk | 17851 | 2024-Oct-25 19:07 |
vbindiff-3.0_beta5-r1.apk | 17864 | 2024-Oct-25 19:09 |
arc-dark-metacity-20221218-r0.apk | 17891 | 2024-Oct-25 19:05 |
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 17900 | 2024-Oct-25 19:07 |
arc-darker-metacity-20221218-r0.apk | 17904 | 2024-Oct-25 19:05 |
py3-logtop-0.7-r0.apk | 17906 | 2024-Oct-25 19:08 |
py3-soapy_power-1.6.1-r5.apk | 17908 | 2024-Oct-25 19:08 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17925 | 2024-Oct-25 19:08 |
perl-dbix-lite-doc-0.36-r0.apk | 17937 | 2024-Dec-30 06:37 |
openscap-daemon-doc-0.1.10-r9.apk | 17961 | 2024-Oct-25 19:08 |
php81-xml-8.1.31-r0.apk | 17966 | 2024-Nov-20 02:43 |
libeantic-dev-2.0.2-r1.apk | 17976 | 2024-Oct-25 19:07 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17978 | 2024-Oct-25 19:08 |
hiawatha-letsencrypt-11.6-r0.apk | 17990 | 2024-Oct-25 19:07 |
spvm-math-1.006-r0.apk | 18004 | 2025-Feb-04 17:20 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 18007 | 2024-Oct-25 19:07 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 18016 | 2024-Oct-25 19:08 |
perl-xml-stream-doc-1.24-r0.apk | 18063 | 2024-Oct-25 19:08 |
py3-ansi2html-1.9.2-r0.apk | 18068 | 2024-Oct-25 19:08 |
rizin-doc-0.6.3-r1.apk | 18070 | 2024-Oct-25 19:08 |
php81-pdo_pgsql-8.1.31-r0.apk | 18084 | 2024-Nov-20 02:43 |
perl-html-tableextract-2.15-r4.apk | 18085 | 2024-Oct-25 19:08 |
surfraw-doc-2.3.0-r0.apk | 18103 | 2024-Oct-25 19:08 |
remind-caldav-0.8.0-r4.apk | 18106 | 2024-Oct-25 19:08 |
fox-shutterbug-1.6.57-r0.apk | 18120 | 2024-Oct-25 19:07 |
php81-tidy-8.1.31-r0.apk | 18130 | 2024-Nov-20 02:43 |
siril-doc-1.2.6-r0.apk | 18140 | 2025-Feb-04 17:20 |
perl-autobox-3.0.2-r0.apk | 18141 | 2024-Oct-25 19:08 |
ocaml-randomconv-dev-0.1.3-r2.apk | 18144 | 2024-Oct-25 19:08 |
atool-0.39.0-r4.apk | 18149 | 2024-Oct-25 19:05 |
pacparser-doc-1.4.5-r1.apk | 18178 | 2024-Oct-25 19:08 |
xsecurelock-doc-1.9.0-r1.apk | 18179 | 2024-Oct-25 19:09 |
py3-dpath-pyc-2.2.0-r0.apk | 18191 | 2024-Oct-25 19:08 |
persistent-cache-cpp-dev-1.0.7-r3.apk | 18203 | 2025-Jan-26 23:22 |
rmlint-doc-2.10.2-r2.apk | 18236 | 2024-Oct-25 19:08 |
wayqt-dev-0.2.0-r0.apk | 18242 | 2024-Oct-25 19:09 |
py3-blockchain-pyc-1.4.4-r7.apk | 18286 | 2024-Oct-25 19:08 |
libiio-doc-0.25-r2.apk | 18291 | 2024-Oct-25 19:07 |
flatpak-xdg-utils-1.0.6-r0.apk | 18314 | 2024-Oct-25 19:07 |
licenseheaders-0.8.8-r4.apk | 18321 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 18358 | 2024-Oct-25 19:08 |
dfl-ipc-0.2.0-r0.apk | 18377 | 2024-Oct-25 19:06 |
hatop-0.8.2-r0.apk | 18385 | 2024-Oct-25 19:07 |
perl-opentracing-1.006-r0.apk | 18393 | 2024-Oct-25 19:08 |
zycore-1.5.0-r0.apk | 18399 | 2024-Oct-25 19:09 |
circuslinux-doc-1.0.3-r1.apk | 18402 | 2024-Oct-25 19:06 |
newsyslog-1.2.0.91-r1.apk | 18406 | 2024-Oct-25 19:07 |
anarch-doc-1.0-r1.apk | 18407 | 2024-Oct-25 19:05 |
py3-pymaging-0.0.20130908-r10.apk | 18416 | 2024-Oct-25 19:08 |
perl-dbix-lite-0.36-r0.apk | 18447 | 2024-Dec-30 06:37 |
shc-4.0.3-r2.apk | 18450 | 2024-Oct-25 19:08 |
py3-feedgenerator-2.1.0-r2.apk | 18454 | 2024-Oct-25 19:08 |
py3-flask-qrcode-3.2.0-r0.apk | 18462 | 2024-Dec-12 06:32 |
mobpass-0.2-r6.apk | 18468 | 2024-Oct-25 19:07 |
perl-datetime-format-flexible-0.37-r0.apk | 18480 | 2024-Dec-31 13:26 |
diceware-pyc-1.0.1-r0.apk | 18488 | 2025-Jan-13 22:49 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18532 | 2024-Oct-25 19:08 |
libecap-static-1.0.1-r1.apk | 18534 | 2024-Oct-25 19:07 |
musikcube-plugin-mpris-3.0.4-r1.apk | 18554 | 2025-Jan-26 19:29 |
py3-flask-migrate-pyc-4.0.7-r0.apk | 18568 | 2024-Oct-25 19:08 |
roswell-doc-24.10.115-r0.apk | 18602 | 2024-Oct-25 19:08 |
py3-flake8-isort-6.1.1-r1.apk | 18632 | 2024-Oct-25 19:08 |
sthttpd-doc-2.27.1-r2.apk | 18660 | 2024-Oct-25 19:08 |
perl-cpan-changes-doc-0.500004-r0.apk | 18669 | 2024-Oct-25 19:08 |
py3-flake8-copyright-0.2.4-r3.apk | 18683 | 2024-Oct-25 19:08 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18702 | 2024-Oct-25 19:07 |
bestline-0.0_git20211108-r0.apk | 18704 | 2024-Oct-25 19:05 |
mbrola-3.3-r0.apk | 18704 | 2024-Oct-25 19:07 |
liberasurecode-dev-1.6.3-r1.apk | 18704 | 2024-Oct-25 19:07 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18717 | 2024-Oct-25 19:08 |
py3-schema-pyc-0.7.5-r4.apk | 18754 | 2024-Oct-25 19:08 |
ldapdomaindump-0.9.4-r1.apk | 18762 | 2024-Oct-25 19:07 |
optee-client-3.20.0-r0.apk | 18771 | 2024-Oct-25 19:08 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18779 | 2024-Oct-25 19:08 |
wordgrinder-doc-0.8-r2.apk | 18794 | 2024-Oct-25 19:09 |
cowsay-3.04-r2.apk | 18817 | 2024-Oct-25 19:06 |
speedtest-doc-5.2.5-r1.apk | 18832 | 2024-Oct-25 19:08 |
linuxptp-tz2alt-4.4-r0.apk | 18851 | 2024-Nov-20 02:43 |
perl-net-patricia-1.22-r12.apk | 18852 | 2024-Oct-25 19:08 |
licenseheaders-pyc-0.8.8-r4.apk | 18853 | 2024-Oct-25 19:07 |
py3-fuzzywuzzy-0.18.0-r7.apk | 18888 | 2024-Oct-25 19:08 |
ssdfs-tools-dev-4.09-r0.apk | 18901 | 2024-Oct-25 19:08 |
py3-schema-0.7.5-r4.apk | 18912 | 2024-Oct-25 19:08 |
py3-wbdata-1.0.0-r1.apk | 18937 | 2024-Oct-25 19:08 |
py3-bson-pyc-0.5.10-r6.apk | 18949 | 2024-Oct-25 19:08 |
py3-spin-0.8-r0.apk | 18963 | 2024-Oct-25 19:08 |
py3-agithub-2.2.2-r6.apk | 18971 | 2024-Oct-25 19:08 |
alarmwakeup-dbg-0.2.1-r0.apk | 18971 | 2024-Oct-25 19:05 |
gkrellm-doc-2.3.11-r0.apk | 18975 | 2025-Jan-08 22:36 |
cdba-server-1.0-r2.apk | 19001 | 2024-Oct-25 19:06 |
dulcepan-1.0.2-r0.apk | 19010 | 2024-Oct-25 19:07 |
py3-pltable-1.1.0-r1.apk | 19024 | 2024-Nov-13 06:28 |
circuslinux-1.0.3-r1.apk | 19031 | 2024-Oct-25 19:06 |
py3-vatnumber-1.2-r9.apk | 19070 | 2024-Oct-25 19:08 |
minimodem-0.24-r1.apk | 19101 | 2024-Oct-25 19:07 |
py3-funcparserlib-pyc-1.0.1-r4.apk | 19117 | 2024-Oct-25 19:08 |
py3-iniparse-0.5-r7.apk | 19135 | 2024-Oct-25 19:08 |
hopalong-0.1-r3.apk | 19136 | 2024-Oct-25 19:07 |
libmpfi-doc-1.5.4-r2.apk | 19174 | 2024-Oct-25 19:07 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 19199 | 2024-Oct-25 19:08 |
py3-helper-2.5.0-r5.apk | 19221 | 2024-Oct-25 19:08 |
php82-pecl-excimer-1.2.3-r0.apk | 19223 | 2024-Dec-04 01:31 |
znc-backlog-0_git20210503-r8.apk | 19230 | 2024-Oct-25 19:09 |
php83-pecl-excimer-1.2.3-r0.apk | 19241 | 2024-Dec-04 01:31 |
py3-pathvalidate-3.2.3-r0.apk | 19251 | 2025-Jan-06 10:40 |
ddcci-driver-linux-src-0.4.5-r1.apk | 19311 | 2025-Jan-09 11:45 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 19313 | 2024-Nov-04 12:28 |
aoetools-37-r2.apk | 19338 | 2025-Jan-14 13:44 |
rmlint-lang-2.10.2-r2.apk | 19355 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk | 19362 | 2024-Oct-25 19:08 |
py3-enzyme-pyc-0.5.1-r0.apk | 19379 | 2024-Oct-25 19:08 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 19406 | 2024-Dec-10 19:01 |
py3-evohome-client-0.3.7-r4.apk | 19410 | 2024-Oct-25 19:08 |
emacs-svg-lib-0_git20240219-r0.apk | 19424 | 2024-Oct-25 19:07 |
razercfg-gui-0.42-r7.apk | 19430 | 2024-Oct-25 19:08 |
moe-doc-1.14-r0.apk | 19437 | 2024-Oct-25 19:07 |
musikcube-dev-3.0.4-r1.apk | 19498 | 2025-Jan-26 19:29 |
lzfse-1.0-r0.apk | 19503 | 2024-Oct-25 19:07 |
php81-sqlite3-8.1.31-r0.apk | 19532 | 2024-Nov-20 02:43 |
powerstat-0.04.01-r0.apk | 19544 | 2024-Oct-25 19:08 |
lua-libmodbus-doc-0.6.1-r0.apk | 19562 | 2024-Oct-25 19:07 |
perl-bsd-resource-1.2911-r10.apk | 19567 | 2024-Oct-25 19:08 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19594 | 2024-Oct-25 19:08 |
ocaml-gettext-doc-0.4.2-r3.apk | 19616 | 2024-Oct-25 19:07 |
perl-protocol-database-postgresql-2.001-r0.apk | 19624 | 2024-Oct-25 19:08 |
adjtimex-1.29-r0.apk | 19640 | 2024-Oct-25 19:05 |
perl-hash-ordered-doc-0.014-r0.apk | 19680 | 2024-Oct-25 19:08 |
php81-snmp-8.1.31-r0.apk | 19683 | 2024-Nov-20 02:43 |
simpleble-dev-0.6.1-r2.apk | 19703 | 2024-Dec-14 19:23 |
planarity-dev-3.0.2.0-r2.apk | 19719 | 2024-Oct-25 19:08 |
sdparm-doc-1.12-r1.apk | 19789 | 2024-Oct-25 19:08 |
dfl-applications-0.2.0-r0.apk | 19801 | 2024-Oct-25 19:06 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19803 | 2024-Oct-25 19:08 |
materia-kde-20220823-r0.apk | 19803 | 2024-Oct-25 19:07 |
shellinabox-doc-2.21-r3.apk | 19822 | 2024-Oct-25 19:08 |
wsmancli-2.6.2-r0.apk | 19838 | 2024-Oct-25 19:09 |
kodi-game-libretro-mgba-0.11.0.44-r0.apk | 19882 | 2024-Oct-25 19:07 |
xfce4-panel-profiles-doc-1.0.14-r1.apk | 19885 | 2024-Oct-25 19:09 |
bootinfo-0.1.0-r4.apk | 19897 | 2024-Oct-25 19:06 |
php81-gmp-8.1.31-r0.apk | 19954 | 2024-Nov-20 02:43 |
pam-krb5-4.11-r1.apk | 19968 | 2024-Oct-25 19:08 |
py3-pytest-subprocess-1.5.2-r0.apk | 19972 | 2024-Oct-25 19:08 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 20015 | 2024-Oct-25 19:07 |
perl-data-checks-0.10-r0.apk | 20016 | 2024-Oct-25 19:08 |
libofx-dev-0.10.9-r1.apk | 20036 | 2024-Oct-25 19:07 |
lua-lupa-1.0-r0.apk | 20041 | 2024-Oct-25 19:07 |
py3-sphinx-autodoc-typehints-3.0.1-r0.apk | 20045 | 2025-Jan-25 07:04 |
imrsh-dbg-0_git20210320-r1.apk | 20046 | 2024-Oct-25 19:07 |
py3-wbdata-pyc-1.0.0-r1.apk | 20046 | 2024-Oct-25 19:08 |
perl-xml-atom-0.43-r0.apk | 20059 | 2024-Oct-25 19:08 |
perl-gtk3-0.038-r1.apk | 20086 | 2024-Oct-25 19:08 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 20091 | 2024-Oct-25 19:07 |
ampy-pyc-1.1.0-r5.apk | 20102 | 2024-Oct-25 19:05 |
py3-deluge-client-pyc-1.10.2-r0.apk | 20123 | 2024-Oct-25 19:08 |
php81-pecl-ast-1.1.2-r0.apk | 20137 | 2024-Oct-25 19:08 |
pimd-dense-doc-2.1.0-r0.apk | 20153 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 20171 | 2024-Oct-25 19:07 |
py3-poetry-dynamic-versioning-1.7.1-r0.apk | 20187 | 2025-Jan-29 06:49 |
py3-gevent-websocket-0.10.1-r8.apk | 20213 | 2024-Oct-25 19:08 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 20217 | 2024-Oct-25 19:07 |
perl-test-trap-0.3.5-r1.apk | 20222 | 2024-Oct-25 19:08 |
perl-gearman-doc-2.004.015-r3.apk | 20237 | 2024-Oct-25 19:08 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 20287 | 2024-Oct-25 19:08 |
eiwd-doc-2.22-r0.apk | 20289 | 2024-Oct-25 19:07 |
tncattach-0.1.9-r1.apk | 20305 | 2024-Oct-25 19:08 |
tayga-0.9.2-r0.apk | 20308 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r..> | 20321 | 2024-Oct-25 19:07 |
perl-ffi-c-0.15-r0.apk | 20324 | 2024-Oct-25 19:08 |
perl-test-trap-doc-0.3.5-r1.apk | 20357 | 2024-Oct-25 19:08 |
ocaml-seq-dev-0.3.1-r2.apk | 20360 | 2024-Oct-25 19:08 |
neko-doc-2.3.0-r0.apk | 20399 | 2024-Nov-21 01:04 |
apk-snap-doc-3.1.1-r0.apk | 20403 | 2024-Oct-25 19:05 |
py3-incoming-pyc-0.3.1-r8.apk | 20426 | 2024-Oct-25 19:08 |
libcyaml-static-1.4.2-r0.apk | 20438 | 2024-Oct-25 19:07 |
libserialport-0.1.1-r1.apk | 20448 | 2024-Oct-25 19:07 |
lomiri-app-launch-dev-0.1.11-r0.apk | 20470 | 2025-Jan-26 01:34 |
ydcv-0.7-r8.apk | 20502 | 2024-Oct-25 19:09 |
vim-rust-305-r0.apk | 20552 | 2024-Oct-25 19:09 |
ddgr-2.2-r0.apk | 20556 | 2024-Oct-25 19:06 |
perl-test-expander-doc-2.5.1-r0.apk | 20558 | 2024-Oct-25 19:08 |
py3-piccata-2.0.3-r1.apk | 20564 | 2024-Oct-25 19:08 |
ocaml-mirage-kv-4.0.1-r3.apk | 20572 | 2024-Oct-25 19:07 |
materia-light-kde-plasma-20220823-r0.apk | 20599 | 2024-Oct-25 19:07 |
kubeone-doc-1.9.1-r1.apk | 20635 | 2025-Jan-25 07:04 |
flintqs-1.0-r1.apk | 20656 | 2024-Oct-25 19:07 |
surf-2.1-r3.apk | 20678 | 2024-Oct-25 19:08 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20697 | 2024-Oct-25 19:08 |
iprange-1.0.4-r1.apk | 20715 | 2024-Oct-25 19:07 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 20718 | 2024-Oct-25 19:08 |
php81-dba-8.1.31-r0.apk | 20727 | 2024-Nov-20 02:43 |
zarchive-libs-0.1.2-r2.apk | 20749 | 2024-Oct-25 19:09 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20749 | 2024-Oct-25 19:08 |
thunar-gtkhash-plugin-1.5-r0.apk | 20758 | 2024-Oct-25 19:08 |
portsmf-dev-239-r1.apk | 20763 | 2024-Oct-25 19:08 |
bindfs-1.17.7-r0.apk | 20765 | 2025-Jan-02 15:14 |
ptpd-doc-2.3.1-r1.apk | 20769 | 2024-Oct-25 19:08 |
fusee-nano-0.5.3-r1.apk | 20770 | 2024-Oct-25 19:07 |
py3-libnacl-2.1.0-r1.apk | 20802 | 2024-Oct-25 19:08 |
php81-pecl-mailparse-3.1.8-r0.apk | 20833 | 2024-Oct-25 19:08 |
py3-nmap-0.7.1-r4.apk | 20839 | 2024-Oct-25 19:08 |
dived-1.9.0-r0.apk | 20874 | 2024-Oct-25 19:06 |
libiscsi-dev-1.19.0-r2.apk | 20899 | 2024-Oct-25 19:07 |
i2util-4.2.1-r1.apk | 20935 | 2024-Oct-25 19:07 |
sqm-scripts-1.6.0-r0.apk | 20936 | 2024-Oct-25 19:08 |
py3-pyisbn-1.3.1-r3.apk | 20938 | 2024-Oct-25 19:08 |
nemo-gtkhash-plugin-1.5-r0.apk | 20941 | 2024-Oct-25 19:07 |
xmp-4.2.0-r0.apk | 20980 | 2024-Oct-25 19:09 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20991 | 2024-Oct-25 19:08 |
mcjoin-2.11-r0.apk | 21014 | 2024-Oct-25 19:07 |
py3-teletype-pyc-1.3.4-r3.apk | 21019 | 2024-Oct-25 19:08 |
tang-doc-15-r0.apk | 21062 | 2025-Jan-25 07:04 |
htmlcxx-dev-0.87-r1.apk | 21113 | 2024-Oct-25 19:07 |
perl-promise-xs-0.20-r1.apk | 21116 | 2024-Oct-25 19:08 |
php81-simplexml-8.1.31-r0.apk | 21173 | 2024-Nov-20 02:43 |
detox-doc-2.0.0-r0.apk | 21209 | 2024-Oct-25 19:06 |
tanidvr-1.4.1-r1.apk | 21218 | 2024-Oct-25 19:08 |
py3-altgraph-0.17.4-r1.apk | 21224 | 2024-Oct-25 19:08 |
perl-flowd-0.9.1-r10.apk | 21240 | 2024-Oct-25 19:08 |
gpscorrelate-cli-2.2-r0.apk | 21301 | 2024-Nov-12 11:07 |
lomiri-url-dispatcher-lang-0.1.3-r2.apk | 21308 | 2024-Oct-25 19:07 |
ip2location-8.6.1-r0.apk | 21309 | 2024-Oct-25 19:07 |
py3-aioopenssl-0.6.0-r4.apk | 21323 | 2024-Oct-25 19:08 |
bump2version-1.0.1-r6.apk | 21349 | 2024-Oct-25 19:06 |
tup-doc-0.7.11-r0.apk | 21363 | 2024-Oct-25 19:09 |
py3-firmata-pyc-1.0.3-r10.apk | 21380 | 2024-Oct-25 19:08 |
py3-telegram-pyc-0.18.0-r3.apk | 21405 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0...> | 21407 | 2024-Oct-25 19:07 |
py3-rfc3987-1.3.8-r6.apk | 21432 | 2024-Oct-25 19:08 |
py3-telemetrix-1.20-r3.apk | 21443 | 2024-Oct-25 19:08 |
cups-pdf-3.0.1-r2.apk | 21445 | 2024-Oct-25 19:06 |
libiio-pyc-0.25-r2.apk | 21456 | 2024-Oct-25 19:07 |
py3-numpy-stl-3.2.0-r0.apk | 21512 | 2024-Dec-01 02:04 |
lrcalc-libs-2.1-r1.apk | 21530 | 2024-Oct-25 19:07 |
zvbi-doc-0.2.43-r0.apk | 21542 | 2024-Dec-07 20:32 |
ukify-257.2-r0.apk | 21566 | 2025-Feb-04 17:20 |
hiawatha-doc-11.6-r0.apk | 21593 | 2024-Oct-25 19:07 |
freealut-dev-1.1.0-r1.apk | 21605 | 2024-Oct-25 19:07 |
py3-pure_protobuf-3.1.2-r0.apk | 21627 | 2024-Oct-25 19:08 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21627 | 2024-Oct-25 19:08 |
libraqm-doc-0.10.2-r0.apk | 21628 | 2024-Oct-25 19:07 |
recoll-doc-1.37.5-r1.apk | 21647 | 2024-Oct-25 19:08 |
cliquer-libs-1.22-r2.apk | 21679 | 2024-Oct-25 19:06 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21689 | 2024-Oct-25 19:07 |
dnsenum-1.3.2-r0.apk | 21737 | 2024-Oct-25 19:06 |
lua5.1-lcurses-9.0.0-r0.apk | 21737 | 2024-Oct-25 19:07 |
ubase-doc-20200605-r3.apk | 21742 | 2024-Oct-25 19:09 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.404..> | 21776 | 2024-Oct-25 19:07 |
lua5.2-xml-1.1.3-r2.apk | 21783 | 2024-Oct-25 19:07 |
ruff-lsp-0.0.53-r0.apk | 21839 | 2024-Oct-25 19:08 |
py3-linkify-it-py-2.0.3-r1.apk | 21843 | 2024-Oct-25 19:08 |
mdnsd-0.12-r1.apk | 21847 | 2024-Oct-25 19:07 |
py3-nptyping-2.5.0-r3.apk | 21852 | 2024-Oct-25 19:08 |
varnish-modules-doc-0.24.0-r0.apk | 21856 | 2024-Oct-25 19:09 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1...> | 21880 | 2024-Oct-25 19:08 |
py3-furl-2.1.3-r4.apk | 21883 | 2024-Oct-25 19:08 |
nmap-parse-output-1.5.1-r0.apk | 21915 | 2024-Oct-25 19:07 |
lua5.1-xml-1.1.3-r2.apk | 21951 | 2024-Oct-25 19:07 |
horizon-doc-0.9.6-r9.apk | 21967 | 2024-Oct-25 19:07 |
py3-actdiag-pyc-3.0.0-r5.apk | 22004 | 2024-Oct-25 19:08 |
materia-light-kde-yakuake-20220823-r0.apk | 22014 | 2024-Oct-25 19:07 |
msgpuck-dev-2.0-r1.apk | 22055 | 2024-Oct-25 19:07 |
lkrg-doc-0.9.6-r1.apk | 22088 | 2025-Jan-09 11:44 |
starfighter-doc-2.4-r0.apk | 22092 | 2024-Oct-25 19:08 |
py3-agithub-pyc-2.2.2-r6.apk | 22101 | 2024-Oct-25 19:08 |
php81-ftp-8.1.31-r0.apk | 22123 | 2024-Nov-20 02:43 |
py3-unidns-pyc-0.0.1-r2.apk | 22128 | 2024-Oct-25 19:08 |
fulcrum-doc-1.9.8-r1.apk | 22133 | 2024-Oct-25 19:07 |
py3-pytest-html-4.1.1-r1.apk | 22144 | 2024-Oct-25 19:08 |
py3-svgpath-pyc-6.3-r3.apk | 22167 | 2024-Oct-25 19:08 |
wiremapper-0.10.0-r0.apk | 22173 | 2024-Oct-25 19:09 |
perl-conf-libconfig-1.0.3-r0.apk | 22207 | 2024-Oct-25 19:08 |
fungw-cli-1.2.1-r0.apk | 22225 | 2024-Dec-30 09:48 |
perl-net-idn-encode-doc-2.500-r1.apk | 22244 | 2024-Oct-25 19:08 |
materia-dark-kde-yakuake-20220823-r0.apk | 22254 | 2024-Oct-25 19:07 |
py3-geoip-1.3.2-r4.apk | 22261 | 2024-Oct-25 19:08 |
py3-pytest-html-pyc-4.1.1-r1.apk | 22272 | 2024-Oct-25 19:08 |
py3-pyroma-4.2-r0.apk | 22395 | 2024-Oct-25 19:08 |
py3-virtualenvwrapper-6.1.0-r1.apk | 22408 | 2024-Oct-25 19:08 |
py3-ansi2html-pyc-1.9.2-r0.apk | 22409 | 2024-Oct-25 19:08 |
tuned-gtk-2.24.1-r1.apk | 22445 | 2025-Jan-27 15:48 |
musikcube-plugin-supereqdsp-3.0.4-r1.apk | 22477 | 2025-Jan-26 19:29 |
py3-wgconfig-1.1.0-r0.apk | 22492 | 2025-Jan-29 06:49 |
netdiscover-doc-0.10-r0.apk | 22493 | 2024-Oct-25 19:07 |
libnfc-doc-1.8.0-r1.apk | 22505 | 2024-Oct-25 19:07 |
libspatialindex-dev-0_git20210205-r1.apk | 22508 | 2024-Oct-25 19:07 |
brltty-static-6.7-r0.apk | 22516 | 2024-Oct-25 19:06 |
tree-sitter-nix-0.0.2-r0.apk | 22544 | 2025-Feb-04 17:20 |
php81-odbc-8.1.31-r0.apk | 22549 | 2024-Nov-20 02:43 |
tree-sitter-clojure-0.0.12-r0.apk | 22550 | 2024-Oct-25 19:08 |
perl-mastodon-client-0.017-r0.apk | 22568 | 2024-Oct-25 19:08 |
py3-cstruct-5.3-r1.apk | 22580 | 2024-Oct-25 19:08 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22648 | 2024-Oct-25 19:08 |
py3-linux-procfs-pyc-0.7.3-r0.apk | 22662 | 2025-Jan-13 21:19 |
py3-mando-0.7.1-r3.apk | 22670 | 2024-Oct-25 19:08 |
timewarrior-doc-1.7.1-r0.apk | 22712 | 2024-Oct-25 19:08 |
tre-0.8.0-r2.apk | 22731 | 2024-Oct-25 19:08 |
py3-dexml-0.5.1-r9.apk | 22743 | 2024-Oct-25 19:08 |
py3-coreapi-2.3.3-r9.apk | 22761 | 2024-Oct-25 19:08 |
libtsm-4.0.2-r1.apk | 22771 | 2024-Oct-25 19:07 |
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk | 22789 | 2024-Oct-30 05:03 |
sblim-sfcc-dev-2.2.8-r3.apk | 22796 | 2024-Oct-25 19:08 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22809 | 2024-Oct-25 19:08 |
perl-dns-unbound-0.29-r1.apk | 22810 | 2024-Oct-25 19:08 |
perl-dbix-connector-doc-0.60-r0.apk | 22833 | 2024-Dec-30 06:37 |
policycoreutils-doc-3.6-r1.apk | 22855 | 2024-Oct-25 19:08 |
pdfcrack-0.20-r0.apk | 22874 | 2024-Oct-25 19:08 |
caja-gtkhash-plugin-1.5-r0.apk | 22879 | 2024-Oct-25 19:06 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22927 | 2024-Oct-25 19:08 |
ocaml-containers-top-3.7-r2.apk | 22966 | 2024-Oct-25 19:07 |
wol-0.7.1-r3.apk | 22976 | 2024-Oct-25 19:09 |
swi-prolog-pyc-9.2.9-r0.apk | 22994 | 2024-Dec-21 10:08 |
py3-pymata-2.20-r4.apk | 23038 | 2024-Oct-25 19:08 |
knxd-dev-0.14.61-r1.apk | 23038 | 2024-Dec-14 19:23 |
ocaml-lwt-dllist-1.0.1-r3.apk | 23070 | 2024-Oct-25 19:07 |
py3-remind-pyc-0.19.1-r0.apk | 23084 | 2024-Oct-25 19:08 |
perl-rxperl-doc-6.29.8-r0.apk | 23116 | 2024-Oct-25 19:08 |
contractor-0.3.5-r0.apk | 23139 | 2024-Nov-12 21:54 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 23139 | 2024-Oct-25 19:07 |
htslib-doc-1.19-r0.apk | 23176 | 2024-Oct-25 19:07 |
gdb-dashboard-0.17.3-r0.apk | 23209 | 2024-Dec-24 22:53 |
py3-pyvcd-0.4.1-r0.apk | 23212 | 2024-Nov-11 21:46 |
kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 23256 | 2024-Oct-25 19:07 |
ntpd-rs-doc-1.4.0-r0.apk | 23261 | 2024-Dec-14 19:23 |
gxlimg-0_git20240711-r0.apk | 23298 | 2025-Jan-25 07:03 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 23303 | 2024-Oct-25 19:07 |
perl-css-object-0.2.0-r0.apk | 23303 | 2024-Oct-25 19:08 |
nlopt-doc-2.10.0-r0.apk | 23321 | 2025-Feb-06 04:42 |
libmysofa-1.3.2-r0.apk | 23321 | 2024-Oct-25 19:07 |
perl-database-async-0.019-r0.apk | 23329 | 2024-Oct-25 19:08 |
postgresql-pg_partman-bitcode-5.0.0-r0.apk | 23330 | 2024-Oct-25 19:08 |
dooit-extras-pyc-0.2.0-r0.apk | 23338 | 2024-Dec-07 20:22 |
autotrash-0.4.7-r0.apk | 23359 | 2024-Oct-25 19:05 |
gtk-session-lock-0.2.0-r0.apk | 23390 | 2025-Feb-01 17:06 |
libsemanage-doc-3.6-r1.apk | 23462 | 2024-Oct-25 19:07 |
py3-pysrt-pyc-1.1.2-r4.apk | 23555 | 2024-Oct-25 19:08 |
py3-pymata4-1.15-r4.apk | 23602 | 2024-Oct-25 19:08 |
darts-clone-0_git20181117-r0.apk | 23609 | 2024-Oct-25 19:06 |
libirecovery-1.2.1-r0.apk | 23613 | 2024-Oct-30 22:44 |
antimicrox-doc-3.4.0-r0.apk | 23631 | 2024-Oct-25 19:05 |
pfetch-1.7.0-r0.apk | 23641 | 2025-Jan-03 18:51 |
py3-aiowinreg-0.0.12-r0.apk | 23646 | 2024-Oct-25 19:08 |
py3-tasklib-2.5.1-r2.apk | 23658 | 2024-Oct-25 19:08 |
py3-enzyme-0.5.1-r0.apk | 23710 | 2024-Oct-25 19:08 |
clevis-doc-21-r0.apk | 23728 | 2025-Jan-25 07:03 |
kodaskanna-lang-0.2.2-r0.apk | 23753 | 2025-Jan-25 07:04 |
perl-getopt-tabular-0.3-r4.apk | 23774 | 2024-Oct-25 19:08 |
lua5.2-luacov-0.15.0-r0.apk | 23841 | 2024-Oct-25 19:07 |
lua5.1-luacov-0.15.0-r0.apk | 23845 | 2024-Oct-25 19:07 |
lua5.3-luacov-0.15.0-r0.apk | 23847 | 2024-Oct-25 19:07 |
rook-doc-0.2.0-r2.apk | 23851 | 2025-Jan-25 07:04 |
lua5.4-luacov-0.15.0-r0.apk | 23851 | 2024-Oct-25 19:07 |
py3-compdb-0.2.0-r8.apk | 23864 | 2024-Oct-25 19:08 |
cliquer-static-1.22-r2.apk | 23941 | 2024-Oct-25 19:06 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23986 | 2024-Oct-25 19:08 |
tre-static-0.8.0-r2.apk | 23986 | 2024-Oct-25 19:08 |
py3-minidb-pyc-2.0.8-r0.apk | 23988 | 2024-Nov-13 18:52 |
admesh-doc-0.98.5-r0.apk | 23994 | 2024-Oct-25 19:05 |
curlftpfs-0.9.2-r3.apk | 23996 | 2024-Oct-25 19:06 |
pmccabe-2.8-r1.apk | 24014 | 2024-Oct-25 19:08 |
shipments-0.3.0-r0.apk | 24034 | 2024-Oct-25 19:08 |
qt-jdenticon-0.3.1-r0.apk | 24042 | 2024-Oct-25 19:08 |
emacs-derl-0_git20231004-r0.apk | 24047 | 2024-Oct-25 19:07 |
py3-bite-parser-pyc-0.2.5-r0.apk | 24054 | 2024-Oct-28 21:51 |
mtree-portable-0_git20220519-r0.apk | 24082 | 2024-Oct-25 19:07 |
rvlprog-0.91-r2.apk | 24131 | 2024-Oct-25 19:08 |
py3-timeago-1.0.16-r0.apk | 24166 | 2024-Oct-25 19:08 |
makedumpfile-doc-1.7.6-r0.apk | 24166 | 2024-Oct-28 04:12 |
nwg-displays-0.3.13-r1.apk | 24173 | 2024-Oct-25 19:07 |
tnef-1.4.18-r0.apk | 24183 | 2024-Oct-25 19:08 |
junit2html-pyc-31.0.2-r0.apk | 24188 | 2024-Oct-25 19:07 |
pam-krb5-doc-4.11-r1.apk | 24189 | 2024-Oct-25 19:08 |
gtk4-layer-shell-1.1.0-r0.apk | 24271 | 2025-Feb-01 17:06 |
smile-lang-2.9.5-r0.apk | 24277 | 2024-Oct-25 19:08 |
tree-sitter-scheme-0.23.0-r0.apk | 24290 | 2024-Oct-25 19:08 |
admesh-0.98.5-r0.apk | 24291 | 2024-Oct-25 19:05 |
py3-discid-1.2.0-r6.apk | 24387 | 2024-Oct-25 19:08 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 24419 | 2024-Oct-25 19:08 |
zita-njbridge-0.4.8-r1.apk | 24496 | 2024-Oct-25 19:09 |
py3-aiosasl-pyc-0.5.0-r4.apk | 24500 | 2024-Oct-25 19:08 |
rdrview-0.1.2-r0.apk | 24500 | 2024-Oct-25 19:08 |
newsyslog-doc-1.2.0.91-r1.apk | 24534 | 2024-Oct-25 19:07 |
sipgrep-2.2.0-r1.apk | 24537 | 2024-Oct-25 19:08 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24546 | 2024-Oct-25 19:08 |
py3-ffmpeg-0.2.0-r4.apk | 24594 | 2024-Oct-25 19:08 |
cliquer-tests-1.22-r2.apk | 24594 | 2024-Oct-25 19:06 |
ocaml-mirage-profile-0.9.1-r3.apk | 24602 | 2024-Oct-25 19:07 |
mame-doc-0.251-r0.apk | 24639 | 2024-Oct-25 19:07 |
py3-twiggy-0.5.1-r4.apk | 24710 | 2024-Oct-25 19:08 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24760 | 2024-Oct-25 19:08 |
vidcutter-doc-6.0.5.3-r0.apk | 24777 | 2024-Oct-25 19:09 |
musikcube-plugin-openmpt-3.0.4-r1.apk | 24816 | 2025-Jan-26 19:29 |
gupnp-dlna-dev-0.12.0-r0.apk | 24836 | 2024-Dec-01 12:57 |
xed-python-3.8.2-r0.apk | 24853 | 2025-Feb-05 22:11 |
git-revise-0.7.0-r5.apk | 24886 | 2024-Oct-25 19:07 |
libcli-1.10.7-r0.apk | 24930 | 2024-Oct-25 19:07 |
fatback-1.3-r2.apk | 24932 | 2024-Oct-25 19:07 |
dwl-0.7-r0.apk | 24948 | 2024-Oct-25 19:07 |
libm4rie-dev-20200125-r5.apk | 24982 | 2025-Jan-15 18:06 |
epr-pyc-2.4.15-r1.apk | 25007 | 2024-Oct-25 19:07 |
php81-zip-8.1.31-r0.apk | 25036 | 2024-Nov-20 02:43 |
ttfautohint-1.8.4-r0.apk | 25059 | 2024-Oct-25 19:09 |
py3-spin-pyc-0.8-r0.apk | 25074 | 2024-Oct-25 19:08 |
font-siji-20190218_git-r2.apk | 25082 | 2024-Oct-25 19:07 |
fungw-python3-1.2.1-r0.apk | 25084 | 2024-Dec-30 09:48 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 25096 | 2024-Oct-25 19:08 |
py3-hatch-openzim-0.2.0-r0.apk | 25099 | 2024-Oct-25 19:08 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 25131 | 2024-Oct-25 19:08 |
py3-remind-0.19.1-r0.apk | 25148 | 2024-Oct-25 19:08 |
py3-librtmp-pyc-0.3.0-r6.apk | 25159 | 2024-Oct-25 19:08 |
perl-mojo-redis-doc-3.29-r0.apk | 25179 | 2024-Oct-25 19:08 |
libcec-rpi-dev-6.0.2-r4.apk | 25184 | 2024-Oct-25 19:07 |
py3-iniparse-pyc-0.5-r7.apk | 25211 | 2024-Oct-25 19:08 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 25248 | 2024-Oct-25 19:08 |
py3-rtree-1.3.0-r0.apk | 25253 | 2025-Jan-04 05:20 |
py3-urlobject-pyc-2.4.3-r9.apk | 25269 | 2024-Oct-25 19:08 |
gnome-metronome-lang-1.3.0-r0.apk | 25273 | 2024-Oct-25 19:07 |
py3-qt.py-pyc-1.3.10-r1.apk | 25279 | 2024-Oct-25 19:08 |
py3-libacl-0.7.0-r2.apk | 25292 | 2024-Oct-25 19:08 |
numbat-doc-1.9.0-r0.apk | 25321 | 2024-Oct-25 19:07 |
py3-mopidy-tidal-0.3.2-r6.apk | 25342 | 2024-Oct-25 19:08 |
py3-dominate-2.9.1-r1.apk | 25346 | 2024-Oct-25 19:08 |
py3-modbus-tk-1.1.1-r4.apk | 25360 | 2024-Oct-25 19:08 |
smplxmpp-doc-0.9.3-r4.apk | 25367 | 2024-Dec-18 12:24 |
pnmixer-lang-0.7.2-r3.apk | 25375 | 2024-Oct-25 19:08 |
snapper-doc-0.12.0-r0.apk | 25380 | 2024-Nov-20 02:43 |
py3-queuelib-pyc-1.7.0-r0.apk | 25386 | 2024-Oct-25 19:08 |
vfd-configurations-0_git20230612-r0.apk | 25399 | 2024-Oct-25 19:09 |
ocaml-uuidm-dev-0.9.8-r2.apk | 25406 | 2024-Oct-25 19:08 |
hilbish-doc-2.3.4-r1.apk | 25464 | 2025-Jan-25 07:03 |
a2jmidid-9-r3.apk | 25523 | 2024-Oct-25 19:05 |
3proxy-doc-0.9.4-r1.apk | 25526 | 2024-Oct-25 19:05 |
py3-json5-0.9.25-r0.apk | 25579 | 2024-Nov-30 21:08 |
scrypt-1.3.2-r0.apk | 25607 | 2024-Oct-25 19:08 |
olsrd-doc-0.9.8-r3.apk | 25672 | 2024-Oct-25 19:08 |
php81-common-8.1.31-r0.apk | 25699 | 2024-Nov-20 02:43 |
php81-pecl-msgpack-3.0.0-r0.apk | 25742 | 2024-Oct-25 19:08 |
perl-mojo-redis-3.29-r0.apk | 25763 | 2024-Oct-25 19:08 |
lshell-doc-0.9.18-r11.apk | 25801 | 2024-Oct-25 19:07 |
qoiconv-0.0.0_git20230312-r0.apk | 25802 | 2024-Oct-25 19:08 |
opkg-utils-0.7.0-r0.apk | 25802 | 2024-Oct-25 19:08 |
thunarx-python-doc-0.5.2-r2.apk | 25809 | 2024-Oct-25 19:08 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25811 | 2024-Oct-25 19:08 |
lomiri-location-service-lang-3.2.0-r0.apk | 25817 | 2025-Jan-26 23:22 |
parcellite-doc-1.2.5-r0.apk | 25833 | 2024-Oct-25 19:08 |
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk | 25835 | 2025-Jan-29 06:49 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 25840 | 2024-Oct-25 19:07 |
py3-truststore-pyc-0.10.0-r0.apk | 25845 | 2024-Oct-25 19:08 |
p0f-doc-3.09b-r3.apk | 25866 | 2024-Oct-25 19:08 |
nlopt-octave-2.10.0-r0.apk | 25879 | 2025-Feb-06 04:42 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25883 | 2024-Nov-29 22:03 |
ocaml-trie-dev-1.0.0-r2.apk | 25883 | 2024-Oct-25 19:08 |
fakeroot-tcp-1.32.1-r1.apk | 25970 | 2024-Oct-25 19:07 |
py3-nmap-pyc-0.7.1-r4.apk | 26093 | 2024-Oct-25 19:08 |
perl-promise-me-0.5.0-r0.apk | 26181 | 2024-Oct-25 19:08 |
soapy-hackrf-0.3.4-r2.apk | 26187 | 2024-Oct-25 19:08 |
ssh-tools-1.8-r0.apk | 26190 | 2024-Oct-25 19:08 |
py3-manuel-pyc-1.13.0-r0.apk | 26228 | 2024-Nov-30 20:13 |
perl-number-tolerant-doc-1.710-r0.apk | 26231 | 2024-Oct-25 19:08 |
khronos-lang-4.0.1-r0.apk | 26325 | 2024-Oct-25 19:07 |
plfit-libs-1.0.1-r0.apk | 26346 | 2025-Jan-04 03:47 |
py3-snapshottest-pyc-0.6.0-r5.apk | 26349 | 2024-Oct-25 19:08 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 26355 | 2024-Oct-25 19:08 |
tabby-3.1-r1.apk | 26388 | 2024-Oct-25 19:08 |
py3-pysrt-1.1.2-r4.apk | 26440 | 2024-Oct-25 19:08 |
droidcam-gui-2.1.3-r1.apk | 26477 | 2024-Nov-08 21:25 |
php81-pecl-ssh2-1.4.1-r0.apk | 26501 | 2024-Oct-25 19:08 |
swappy-1.5.1-r0.apk | 26501 | 2024-Oct-25 19:08 |
py3-asif-pyc-0.3.2-r3.apk | 26506 | 2024-Oct-25 19:08 |
py3-pyroma-pyc-4.2-r0.apk | 26575 | 2024-Oct-25 19:08 |
kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 26587 | 2024-Oct-25 19:07 |
jedi-language-server-0.43.1-r0.apk | 26597 | 2025-Jan-04 01:41 |
lxqt-wayland-session-doc-0.1.0-r0.apk | 26614 | 2025-Jan-11 10:32 |
py3-milc-1.9.1-r0.apk | 26616 | 2025-Jan-25 16:04 |
py3-unoconv-0.9.0-r2.apk | 26631 | 2024-Oct-25 19:08 |
kodi-audioencoder-wav-20.2.0-r1.apk | 26650 | 2024-Oct-25 19:07 |
php83-pecl-eio-3.1.3-r0.apk | 26657 | 2024-Oct-25 19:08 |
perl-ryu-4.001-r0.apk | 26687 | 2024-Oct-25 19:08 |
lomiri-indicator-location-0_git20231227-r0.apk | 26768 | 2024-Oct-25 19:07 |
libfort-0.4.2-r0.apk | 26791 | 2024-Oct-25 19:07 |
geoclue-stumbler-0.2.0-r0.apk | 26803 | 2024-Dec-31 12:56 |
php81-sodium-8.1.31-r0.apk | 26812 | 2024-Nov-20 02:43 |
py3-dunamai-1.23.0-r0.apk | 26819 | 2024-Dec-10 07:50 |
libnxml-dev-0.18.3-r0.apk | 26857 | 2024-Oct-25 19:07 |
perl-xml-bare-0.53-r13.apk | 26866 | 2024-Oct-25 19:08 |
mirrorhall-0.1.1-r1.apk | 26886 | 2025-Jan-14 13:44 |
libaudec-0.3.4-r3.apk | 26893 | 2024-Oct-25 19:07 |
perl-rxperl-6.29.8-r0.apk | 26913 | 2024-Oct-25 19:08 |
pounce-3.1-r3.apk | 26919 | 2024-Oct-25 19:08 |
py3-sqlmodel-0.0.22-r1.apk | 26955 | 2024-Dec-06 23:03 |
libaudec-tools-0.3.4-r3.apk | 27025 | 2024-Oct-25 19:07 |
primecount-7.14-r0.apk | 27034 | 2024-Oct-25 19:08 |
dehydrated-0.7.1-r0.apk | 27071 | 2024-Oct-25 19:06 |
sfwbar-doc-1.0_beta16-r1.apk | 27084 | 2024-Dec-12 19:02 |
dnscrypt-wrapper-0.4.2-r3.apk | 27097 | 2024-Oct-25 19:06 |
py3-soapy_power-pyc-1.6.1-r5.apk | 27160 | 2024-Oct-25 19:08 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 27166 | 2024-Oct-25 19:08 |
perl-file-mmagic-xs-0.09008-r4.apk | 27203 | 2024-Oct-25 19:08 |
charls-dev-2.4.2-r0.apk | 27228 | 2024-Oct-25 19:06 |
py3-flask-limiter-3.10.1-r0.apk | 27244 | 2025-Jan-25 07:04 |
kdiskmark-lang-3.1.4-r1.apk | 27302 | 2024-Oct-25 19:07 |
curtail-1.11.1-r0.apk | 27374 | 2024-Oct-25 19:06 |
unit-php81-1.34.1-r0.apk | 27391 | 2025-Jan-11 19:54 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 27393 | 2024-Oct-25 19:08 |
debconf-doc-1.5.82-r0.apk | 27448 | 2024-Oct-25 19:06 |
nvim-web-devicons-0.100_git20241011-r0.apk | 27467 | 2024-Nov-11 03:57 |
ocaml-duration-0.2.0-r2.apk | 27481 | 2024-Oct-25 19:07 |
jdupes-1.28.0-r0.apk | 27501 | 2024-Oct-25 19:07 |
tcl-curl-7.22.0-r0.apk | 27501 | 2024-Oct-25 19:08 |
nm-tray-lang-0.5.0-r0.apk | 27532 | 2024-Oct-25 19:07 |
py3-ecos-2.0.11-r4.apk | 27588 | 2024-Oct-25 19:08 |
lomiri-download-manager-lang-0.1.3-r4.apk | 27636 | 2025-Jan-26 01:34 |
libcork-0.15.0-r7.apk | 27653 | 2024-Oct-25 19:07 |
rtmidi-6.0.0-r0.apk | 27689 | 2024-Oct-25 19:08 |
py3-evohome-client-pyc-0.3.7-r4.apk | 27690 | 2024-Oct-25 19:08 |
oblibs-0.3.2.1-r0.apk | 27695 | 2025-Jan-25 16:46 |
arc-dark-gnome-20221218-r0.apk | 27728 | 2024-Oct-25 19:05 |
btfs-2.24-r12.apk | 27740 | 2024-Oct-25 19:06 |
gtkwave-doc-3.3.120-r0.apk | 27772 | 2024-Oct-25 19:07 |
libmpfi-1.5.4-r2.apk | 27791 | 2024-Oct-25 19:07 |
tree-sitter-vimdoc-3.0.0-r2.apk | 27807 | 2025-Jan-10 06:09 |
vcsh-doc-2.0.5-r0.apk | 27849 | 2024-Oct-25 19:09 |
e16-doc-1.0.30-r0.apk | 27899 | 2024-Nov-05 13:22 |
litterbox-1.9-r1.apk | 27952 | 2024-Oct-25 19:07 |
perl-math-int64-0.57-r1.apk | 28013 | 2024-Oct-25 19:08 |
py3-mbedtls-pyc-2.10.1-r2.apk | 28016 | 2024-Oct-25 19:08 |
py3-dataclasses-json-0.6.7-r0.apk | 28066 | 2024-Oct-25 19:08 |
php81-pecl-luasandbox-4.1.2-r0.apk | 28069 | 2024-Oct-25 19:08 |
refine-0.4.4-r0.apk | 28085 | 2025-Feb-05 21:25 |
perl-gearman-2.004.015-r3.apk | 28102 | 2024-Oct-25 19:08 |
perl-sql-abstract-more-1.43-r0.apk | 28109 | 2024-Dec-30 06:37 |
perl-nice-try-1.3.15-r0.apk | 28181 | 2024-Nov-07 05:39 |
php81-pecl-igbinary-3.2.16-r0.apk | 28209 | 2024-Oct-25 19:08 |
libaudec-static-0.3.4-r3.apk | 28222 | 2024-Oct-25 19:07 |
ocaml-mirage-clock-4.2.0-r2.apk | 28321 | 2024-Oct-25 19:07 |
py3-timeago-pyc-1.0.16-r0.apk | 28321 | 2024-Oct-25 19:08 |
lxappearance-0.6.3-r3.apk | 28336 | 2024-Oct-25 19:07 |
py3-bidict-0.23.1-r1.apk | 28383 | 2024-Oct-25 19:08 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 28400 | 2024-Dec-16 11:36 |
libqtdbustest-0.3.3-r0.apk | 28423 | 2025-Jan-10 10:07 |
py3-mopidy-local-3.3.0-r0.apk | 28436 | 2025-Jan-01 22:25 |
dcnnt-0.10.0-r1.apk | 28447 | 2024-Oct-25 19:06 |
py3-dep-logic-0.4.10-r0.apk | 28487 | 2024-Dec-15 22:01 |
libmrss-dev-0.19.2-r1.apk | 28522 | 2024-Oct-25 19:07 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 28542 | 2024-Dec-01 02:04 |
merlin-vim-4.14-r0.apk | 28555 | 2024-Oct-25 19:07 |
py3-libmdbx-0.10.2-r7.apk | 28562 | 2024-Oct-25 19:08 |
octoprint-filecheck-2024.3.27-r1.apk | 28583 | 2024-Oct-25 19:08 |
ecos-dev-2.0.10-r0.apk | 28591 | 2024-Oct-25 19:07 |
randrctl-1.10.0-r0.apk | 28595 | 2024-Nov-20 02:43 |
paprefs-1.2-r2.apk | 28607 | 2024-Nov-22 22:07 |
tree-sitter-xml-0.7.0-r0.apk | 28630 | 2024-Nov-14 13:10 |
jbigkit-dev-2.1-r2.apk | 28638 | 2024-Oct-25 19:07 |
tree-sitter-ron-0.2.0-r0.apk | 28717 | 2024-Oct-25 19:08 |
dfl-sni-0.2.0-r0.apk | 28753 | 2024-Oct-25 19:06 |
perl-barcode-zbar-0.10-r3.apk | 28798 | 2024-Oct-25 19:08 |
mimeo-2023-r2.apk | 28828 | 2024-Oct-25 19:07 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28846 | 2024-Oct-25 19:08 |
py3-helper-pyc-2.5.0-r5.apk | 28848 | 2024-Oct-25 19:08 |
gcli-doc-2.6.1-r0.apk | 28916 | 2025-Jan-26 17:28 |
libwbxml-doc-0.11.8-r0.apk | 28946 | 2024-Oct-25 19:07 |
ocaml-ca-certs-0.2.2-r2.apk | 29025 | 2024-Oct-25 19:07 |
throttled-pyc-0.10.0-r1.apk | 29048 | 2024-Dec-15 19:22 |
trigger-rally-doc-0.6.7-r2.apk | 29067 | 2024-Oct-25 19:09 |
gmic-bash-completion-3.3.5-r1.apk | 29082 | 2024-Nov-21 23:02 |
merlin-emacs-4.14-r0.apk | 29190 | 2024-Oct-25 19:07 |
mkdocs-bootstrap-1.1.1-r2.apk | 29205 | 2024-Oct-25 19:07 |
perl-mojolicious-plugin-openapi-5.09-r0.apk | 29250 | 2024-Oct-25 19:08 |
optee-client-dev-3.20.0-r0.apk | 29284 | 2024-Oct-25 19:08 |
perl-ffi-c-doc-0.15-r0.apk | 29292 | 2024-Oct-25 19:08 |
arc-gnome-20221218-r0.apk | 29331 | 2024-Oct-25 19:05 |
opentelemetry-cpp-exporter-otlp-common-1.11.0-r5..> | 29365 | 2024-Nov-11 17:30 |
py3-bidict-pyc-0.23.1-r1.apk | 29452 | 2024-Oct-25 19:08 |
py3-rospkg-1.2.9-r5.apk | 29490 | 2024-Oct-25 19:08 |
py3-tokenizers-pyc-0.21.0-r0.apk | 29497 | 2024-Nov-27 19:54 |
php83-pecl-zmq-1.1.4-r0.apk | 29525 | 2024-Oct-25 19:08 |
py3-sphinx-autodoc-typehints-pyc-3.0.1-r0.apk | 29536 | 2025-Jan-25 07:04 |
emacs-powerline-2.4_git20221110-r0.apk | 29591 | 2024-Oct-25 19:07 |
py3-zipfile2-pyc-0.0.12-r0.apk | 29624 | 2024-Oct-25 19:08 |
fox-calculator-1.6.57-r0.apk | 29793 | 2024-Oct-25 19:07 |
bump2version-pyc-1.0.1-r6.apk | 29807 | 2024-Oct-25 19:06 |
py3-altgraph-pyc-0.17.4-r1.apk | 29831 | 2024-Oct-25 19:08 |
edam-1.0.2-r0.apk | 29833 | 2025-Jan-07 16:41 |
perl-database-async-doc-0.019-r0.apk | 29875 | 2024-Oct-25 19:08 |
ktx-dev-4.3.2-r0.apk | 29879 | 2024-Oct-25 19:07 |
crazydiskinfo-1.1.0-r1.apk | 29929 | 2024-Oct-25 19:06 |
ocaml-lwt_ssl-1.2.0-r0.apk | 29931 | 2024-Oct-25 19:07 |
fpp-0.9.5-r0.apk | 29974 | 2024-Oct-25 19:07 |
irctk-1.1.0-r0.apk | 29999 | 2024-Oct-25 19:07 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 30008 | 2024-Oct-25 19:08 |
plfit-static-1.0.1-r0.apk | 30019 | 2025-Jan-04 03:47 |
libguestfs-dev-1.52.0-r1.apk | 30019 | 2024-Oct-25 19:07 |
py3-aiodocker-0.21.0-r1.apk | 30019 | 2024-Oct-25 19:08 |
ocaml-hex-1.5.0-r2.apk | 30033 | 2024-Oct-25 19:07 |
py3-json5-pyc-0.9.25-r0.apk | 30075 | 2024-Nov-30 21:08 |
py3-pymata-pyc-2.20-r4.apk | 30101 | 2024-Oct-25 19:08 |
perl-algorithm-backoff-doc-0.010-r0.apk | 30181 | 2024-Oct-25 19:08 |
perl-sql-abstract-classic-1.91-r1.apk | 30207 | 2024-Oct-25 19:08 |
py3-liblarch-3.2.0-r6.apk | 30221 | 2024-Dec-08 21:36 |
jack_capture-0.9.73_git20210429-r2.apk | 30231 | 2024-Oct-25 19:07 |
musikcube-plugin-taglibreader-3.0.4-r1.apk | 30243 | 2025-Jan-26 19:29 |
py3-gtkspellcheck-pyc-5.0.3-r0.apk | 30266 | 2024-Dec-07 20:32 |
php81-ldap-8.1.31-r0.apk | 30272 | 2024-Nov-20 02:43 |
py3-aiosasl-0.5.0-r4.apk | 30293 | 2024-Oct-25 19:08 |
materia-light-kde-kvantum-20220823-r0.apk | 30311 | 2024-Oct-25 19:07 |
xfce4-hamster-plugin-1.17-r0.apk | 30316 | 2024-Oct-25 19:09 |
blip-doc-0.10-r0.apk | 30340 | 2024-Oct-25 19:05 |
dotnet-host-6.0.36-r1.apk | 30371 | 2024-Nov-25 05:19 |
slidge-matridge-0.1.0-r0.apk | 30404 | 2024-Oct-25 19:08 |
it87-src-1_p20240609-r0.apk | 30405 | 2024-Oct-25 19:07 |
nvim-treesitter-doc-0.9.3-r0.apk | 30450 | 2024-Nov-04 03:04 |
lomiri-api-0.2.2-r0.apk | 30464 | 2025-Jan-10 10:07 |
lomiri-location-service-dev-3.2.0-r0.apk | 30502 | 2025-Jan-26 23:22 |
py3-findpython-pyc-0.6.2-r0.apk | 30564 | 2024-Oct-25 19:08 |
jalv-gtk-1.6.8-r1.apk | 30569 | 2024-Oct-25 19:07 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 30571 | 2024-Oct-25 19:08 |
php81-exif-8.1.31-r0.apk | 30596 | 2024-Nov-20 02:43 |
py3-livestream-pyc-2.1.0-r0.apk | 30605 | 2024-Nov-25 22:22 |
py3-spotipy-2.24.0-r1.apk | 30670 | 2024-Oct-25 19:08 |
materia-kde-kvantum-20220823-r0.apk | 30675 | 2024-Oct-25 19:07 |
libcork-dev-0.15.0-r7.apk | 30691 | 2024-Oct-25 19:07 |
optee-client-libs-3.20.0-r0.apk | 30712 | 2024-Oct-25 19:08 |
materia-dark-kde-kvantum-20220823-r0.apk | 30717 | 2024-Oct-25 19:07 |
mp3gain-1.6.2-r3.apk | 30763 | 2025-Jan-03 16:47 |
py3-pytest-regtest-pyc-2.3.2-r0.apk | 30773 | 2024-Nov-13 23:16 |
py3-spake2-0.9-r0.apk | 30864 | 2024-Oct-25 19:08 |
libretro-freeintv-0_git20220319-r0.apk | 30874 | 2024-Oct-25 19:07 |
crossplane-0.5.8-r3.apk | 30929 | 2024-Oct-25 19:06 |
ffms2-doc-5.0-r0.apk | 31003 | 2024-Oct-25 19:07 |
perl-statistics-descriptive-3.0801-r0.apk | 31015 | 2024-Oct-25 19:08 |
py3-pyte-0.8.2-r2.apk | 31021 | 2024-Oct-25 19:08 |
par-doc-1.53.0-r1.apk | 31060 | 2024-Oct-25 19:08 |
libxml++-dev-5.0.3-r1.apk | 31066 | 2024-Oct-25 19:07 |
py3-libnacl-pyc-2.1.0-r1.apk | 31078 | 2024-Oct-25 19:08 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 31090 | 2024-Oct-25 19:08 |
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk | 31201 | 2024-Nov-11 17:30 |
nvim-lualine-doc-0.0.0_git20241101-r0.apk | 31297 | 2024-Nov-13 23:16 |
ocp-index-doc-1.3.6-r0.apk | 31376 | 2024-Oct-25 19:08 |
qperf-0.4.11-r1.apk | 31401 | 2024-Oct-25 19:08 |
libsigrok-dev-0.5.2-r3.apk | 31411 | 2024-Oct-25 19:07 |
ovos-gui-1.1.0-r0.apk | 31431 | 2024-Nov-25 12:41 |
ldapdomaindump-pyc-0.9.4-r1.apk | 31438 | 2024-Oct-25 19:07 |
py3-rich-click-1.7.3-r1.apk | 31471 | 2024-Oct-25 19:08 |
ruby-simplecov-0.22.0-r0.apk | 31483 | 2024-Oct-25 19:08 |
libnbcompat-1.0.2-r0.apk | 31562 | 2024-Oct-25 19:07 |
py3-pymata4-pyc-1.15-r4.apk | 31563 | 2024-Oct-25 19:08 |
py3-qbittorrent-api-doc-2024.12.71-r0.apk | 31569 | 2024-Dec-23 21:41 |
octoprint-pisupport-2023.10.10-r1.apk | 31574 | 2024-Oct-25 19:08 |
jhead-3.08-r0.apk | 31579 | 2024-Oct-25 19:07 |
perl-time-moment-doc-0.44-r0.apk | 31595 | 2024-Oct-25 19:08 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 31612 | 2024-Oct-25 19:08 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 31626 | 2024-Oct-25 19:07 |
bgpq4-1.15-r0.apk | 31669 | 2024-Oct-25 19:05 |
linuxptp-nsm-4.4-r0.apk | 31670 | 2024-Nov-20 02:43 |
py3-sphinx-autoapi-3.4.0-r0.apk | 31708 | 2024-Dec-04 21:57 |
foolsm-1.0.21-r0.apk | 31718 | 2024-Oct-25 19:07 |
pptpclient-1.10.0-r5.apk | 31725 | 2024-Oct-25 19:08 |
py3-recurring-ical-events-3.4.1-r0.apk | 31768 | 2025-Jan-25 07:04 |
py3-telemetrix-pyc-1.20-r3.apk | 31789 | 2024-Oct-25 19:08 |
libbamf-doc-0.5.6-r1.apk | 31800 | 2024-Oct-25 19:07 |
plplot-5.15.0-r2.apk | 31901 | 2024-Oct-25 19:08 |
moosefs-metalogger-3.0.117-r2.apk | 31933 | 2024-Oct-25 19:07 |
randrctl-pyc-1.10.0-r0.apk | 31935 | 2024-Nov-20 02:43 |
ccrtp-doc-2.1.2-r0.apk | 32016 | 2024-Oct-25 19:06 |
lizardfs-cgi-3.13.0-r14.apk | 32153 | 2024-Dec-03 14:30 |
libmdf-1.0.29-r0.apk | 32156 | 2024-Oct-25 19:07 |
createrepo_c-dev-1.1.4-r0.apk | 32228 | 2024-Oct-25 19:06 |
perl-git-repository-doc-1.325-r0.apk | 32235 | 2024-Oct-25 19:08 |
libm4ri-dev-20240729-r2.apk | 32269 | 2025-Jan-15 18:06 |
py3-radon-6.0.1-r2.apk | 32275 | 2024-Oct-25 19:08 |
materia-gnome-shell-20210322-r1.apk | 32282 | 2024-Oct-25 19:07 |
materia-dark-gnome-shell-20210322-r1.apk | 32290 | 2024-Oct-25 19:07 |
py3-pymeta3-pyc-0.5.1-r6.apk | 32305 | 2024-Oct-25 19:08 |
materia-dark-compact-gnome-shell-20210322-r1.apk | 32359 | 2024-Oct-25 19:07 |
materia-compact-gnome-shell-20210322-r1.apk | 32386 | 2024-Oct-25 19:07 |
peg-0.1.18-r1.apk | 32430 | 2024-Oct-25 19:08 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 32433 | 2024-Oct-25 19:08 |
mm-common-doc-1.0.5-r0.apk | 32463 | 2024-Oct-25 19:07 |
mnamer-2.5.5-r1.apk | 32474 | 2024-Oct-25 19:07 |
libtommath-1.2.1-r0.apk | 32495 | 2024-Oct-25 19:07 |
php81-imap-8.1.31-r0.apk | 32545 | 2024-Nov-20 02:43 |
ocaml-ezxmlm-1.1.0-r0.apk | 32632 | 2024-Oct-25 19:07 |
py3-pysonic-pyc-1.0.1-r1.apk | 32707 | 2024-Oct-25 19:08 |
usbmuxd-1.1.1-r8.apk | 32727 | 2024-Oct-30 22:44 |
bcg729-1.1.1-r0.apk | 32733 | 2024-Oct-25 19:05 |
lomiri-trust-store-lang-2.0.2-r6.apk | 32761 | 2024-Oct-25 19:07 |
moon-buggy-1.0.51-r1.apk | 32777 | 2024-Oct-25 19:07 |
py3-nptyping-pyc-2.5.0-r3.apk | 32799 | 2024-Oct-25 19:08 |
libsymmetrica-dev-3.0.1-r2.apk | 32806 | 2024-Oct-25 19:07 |
lomiri-api-dev-0.2.2-r0.apk | 32832 | 2025-Jan-10 10:07 |
py3-c3d-0.5.2-r1.apk | 32845 | 2024-Oct-25 19:08 |
gmenuharness-0.1.4-r1.apk | 32973 | 2024-Oct-25 19:07 |
py3-yapsy-1.12.2-r7.apk | 33012 | 2024-Oct-25 19:08 |
tang-dbg-15-r0.apk | 33027 | 2025-Jan-25 07:04 |
py3-furl-pyc-2.1.3-r4.apk | 33123 | 2024-Oct-25 19:08 |
somebar-1.0.3-r0.apk | 33138 | 2024-Oct-25 19:08 |
uxn-1.0-r0.apk | 33200 | 2024-Oct-25 19:09 |
py3-librtmp-0.3.0-r6.apk | 33242 | 2024-Oct-25 19:08 |
py3-lunr-0.6.2-r4.apk | 33263 | 2024-Oct-25 19:08 |
py3-django-suit-pyc-0.2.28-r8.apk | 33278 | 2024-Oct-25 19:08 |
py3-euclid3-pyc-0.01-r8.apk | 33335 | 2024-Oct-25 19:08 |
perl-css-object-doc-0.2.0-r0.apk | 33340 | 2024-Oct-25 19:08 |
py3-qt.py-1.3.10-r1.apk | 33477 | 2024-Oct-25 19:08 |
perl-opentracing-doc-1.006-r0.apk | 33488 | 2024-Oct-25 19:08 |
liberasurecode-1.6.3-r1.apk | 33500 | 2024-Oct-25 19:07 |
py3-bitstruct-8.19.0-r1.apk | 33531 | 2024-Oct-25 19:08 |
py3-ffmpeg-pyc-0.2.0-r4.apk | 33563 | 2024-Oct-25 19:08 |
py3-libmdbx-pyc-0.10.2-r7.apk | 33604 | 2024-Oct-25 19:08 |
hddfancontrol-1.6.2-r0.apk | 33622 | 2024-Oct-25 19:07 |
linuxptp-pmc-4.4-r0.apk | 33673 | 2024-Nov-20 02:43 |
cluster-glue-doc-1.0.12-r5.apk | 33722 | 2024-Oct-25 19:06 |
perl-mastodon-client-doc-0.017-r0.apk | 33750 | 2024-Oct-25 19:08 |
php81-pecl-oauth-2.0.9-r0.apk | 33849 | 2024-Oct-25 19:08 |
ppl-1.2-r1.apk | 33864 | 2024-Oct-25 19:08 |
perl-math-random-0.72-r0.apk | 33950 | 2024-Oct-25 19:08 |
crosstool-ng-doc-1.27.0-r0.apk | 33975 | 2025-Feb-06 04:42 |
urlwatch-doc-2.28-r2.apk | 33985 | 2024-Oct-25 19:09 |
perl-json-validator-doc-5.14-r0.apk | 34003 | 2024-Oct-25 19:08 |
mpop-doc-1.4.21-r0.apk | 34033 | 2025-Jan-14 05:15 |
lomiri-indicator-location-lang-0_git20231227-r0...> | 34040 | 2024-Oct-25 19:07 |
php82-pecl-oauth-2.0.9-r0.apk | 34059 | 2024-Oct-25 19:08 |
php83-pecl-oauth-2.0.9-r0.apk | 34118 | 2024-Oct-25 19:08 |
py3-pathvalidate-pyc-3.2.3-r0.apk | 34128 | 2025-Jan-06 10:40 |
tree-sitter-hare-0_git20230616-r1.apk | 34138 | 2024-Oct-25 19:08 |
csol-1.6.0-r0.apk | 34170 | 2024-Oct-25 19:06 |
php84-pecl-oauth-2.0.9-r0.apk | 34177 | 2024-Oct-25 19:08 |
sblg-0.5.11-r0.apk | 34195 | 2024-Oct-25 19:08 |
py3-recurring-ical-events-pyc-3.4.1-r0.apk | 34208 | 2025-Jan-25 07:04 |
py3-pltable-pyc-1.1.0-r1.apk | 34278 | 2024-Nov-13 06:28 |
apulse-0.1.13-r2.apk | 34292 | 2024-Oct-25 19:05 |
py3-limits-3.14.1-r0.apk | 34301 | 2024-Dec-25 18:58 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 34305 | 2024-Oct-25 19:08 |
i3status-rust-doc-0.33.2-r0.apk | 34308 | 2024-Nov-11 03:21 |
cddlib-tools-0.94m-r2.apk | 34334 | 2024-Oct-25 19:06 |
dfu-programmer-1.1.0-r0.apk | 34344 | 2024-Oct-25 19:06 |
php81-pecl-rdkafka-6.0.5-r0.apk | 34362 | 2024-Nov-04 12:51 |
elementary-camera-lang-8.0.0-r0.apk | 34397 | 2024-Oct-27 14:20 |
kodi-audioencoder-vorbis-20.2.0-r1.apk | 34398 | 2024-Oct-25 19:07 |
py3-xapp-2.4.2-r0.apk | 34420 | 2024-Nov-12 11:04 |
lomiri-url-dispatcher-0.1.3-r2.apk | 34447 | 2024-Oct-25 19:07 |
libopensles-standalone-0_git20240221-r0.apk | 34465 | 2024-Oct-25 19:07 |
startup-bridge-udev-2.0.3-r5.apk | 34511 | 2024-Oct-25 19:08 |
py3-dominate-pyc-2.9.1-r1.apk | 34541 | 2024-Oct-25 19:08 |
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk | 34559 | 2024-Oct-25 19:08 |
py3-hishel-0.0.33-r0.apk | 34560 | 2024-Oct-25 19:08 |
wbg-1.2.0-r0.apk | 34608 | 2024-Oct-25 19:09 |
gf2x-1.3.0-r1.apk | 34692 | 2024-Oct-25 19:07 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 34703 | 2024-Oct-25 19:08 |
aufs-util-doc-20161219-r3.apk | 34711 | 2024-Oct-25 19:05 |
py3-ovos-config-pyc-1.0.0-r0.apk | 34724 | 2024-Nov-25 12:41 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 34734 | 2024-Oct-25 19:07 |
trantor-dev-1.5.18-r0.apk | 34815 | 2024-Oct-25 19:08 |
py3-trivup-0.12.2-r2.apk | 34838 | 2024-Oct-25 19:08 |
hddfancontrol-pyc-1.6.2-r0.apk | 34847 | 2024-Oct-25 19:07 |
adbd-0_git20250115-r0.apk | 34892 | 2025-Jan-16 09:05 |
startup-bridge-dconf-2.0.3-r5.apk | 34898 | 2024-Oct-25 19:08 |
py3-mopidy-local-pyc-3.3.0-r0.apk | 34911 | 2025-Jan-01 22:25 |
php81-sockets-8.1.31-r0.apk | 34943 | 2024-Nov-20 02:43 |
py3-piccata-pyc-2.0.3-r1.apk | 35039 | 2024-Oct-25 19:08 |
avra-1.4.2-r0.apk | 35054 | 2024-Oct-25 19:05 |
spnavcfg-1.1-r0.apk | 35058 | 2024-Oct-25 19:08 |
caffeine-ng-lang-4.2.0-r1.apk | 35184 | 2024-Oct-25 19:06 |
py3-pygpgme-0.3.1-r9.apk | 35216 | 2024-Oct-25 19:08 |
libantic-0.2.5-r0.apk | 35325 | 2024-Oct-25 19:07 |
libantlr3c-3.4-r3.apk | 35356 | 2024-Oct-25 19:07 |
linuxptp-ts2phc-4.4-r0.apk | 35390 | 2024-Nov-20 02:43 |
sblim-sfcc-doc-2.2.8-r3.apk | 35407 | 2024-Oct-25 19:08 |
ruff-lsp-pyc-0.0.53-r0.apk | 35492 | 2024-Oct-25 19:08 |
ocaml-rresult-dev-0.7.0-r2.apk | 35544 | 2024-Oct-25 19:08 |
php81-session-8.1.31-r0.apk | 35558 | 2024-Nov-20 02:43 |
py3-pysonic-1.0.1-r1.apk | 35578 | 2024-Oct-25 19:08 |
alttab-1.7.1-r0.apk | 35583 | 2024-Oct-25 19:05 |
pimd-doc-3.0_git20220201-r0.apk | 35592 | 2024-Oct-25 19:08 |
nwg-displays-pyc-0.3.13-r1.apk | 35600 | 2024-Oct-25 19:07 |
vcstool-0.3.0-r5.apk | 35637 | 2024-Oct-25 19:09 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 35639 | 2024-Oct-25 19:07 |
plfit-1.0.1-r0.apk | 35744 | 2025-Jan-04 03:47 |
dnsperf-doc-2.14.0-r0.apk | 35757 | 2024-Oct-25 19:06 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 35784 | 2024-Oct-25 19:08 |
py3-rpio-0.10.1-r8.apk | 35792 | 2024-Oct-25 19:08 |
commoncpp-tools-7.0.1-r1.apk | 35842 | 2024-Oct-25 19:06 |
py3-pymaging-png-0.0.20130727-r10.apk | 35856 | 2024-Oct-25 19:08 |
libsigrokdecode-dev-0.5.3-r4.apk | 35867 | 2024-Oct-25 19:07 |
ecos-2.0.10-r0.apk | 35893 | 2024-Oct-25 19:07 |
srain-lang-1.8.0-r0.apk | 35894 | 2024-Nov-20 02:43 |
py3-pyautogui-0.9.53-r5.apk | 35911 | 2024-Oct-25 19:08 |
php81-curl-8.1.31-r0.apk | 35942 | 2024-Nov-20 02:43 |
imapfilter-2.8.2-r0.apk | 36012 | 2024-Oct-25 19:07 |
luapak-0.1.0_beta5-r0.apk | 36151 | 2024-Oct-25 19:07 |
lshell-pyc-0.9.18-r11.apk | 36167 | 2024-Oct-25 19:07 |
py3-cookiecutter-2.6.0-r1.apk | 36221 | 2024-Oct-25 19:08 |
wput-0.6.2-r4.apk | 36233 | 2024-Oct-25 19:09 |
perl-ryu-doc-4.001-r0.apk | 36243 | 2024-Oct-25 19:08 |
mat2-0.13.4-r3.apk | 36244 | 2024-Oct-25 19:07 |
autoremove-torrents-1.5.5-r0.apk | 36289 | 2024-Oct-25 19:05 |
linuxptp-phc2sys-4.4-r0.apk | 36291 | 2024-Nov-20 02:43 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 36386 | 2024-Oct-25 19:08 |
php83-pecl-phpy-1.0.8-r0.apk | 36387 | 2024-Oct-25 19:08 |
sndfile-tools-1.5-r1.apk | 36419 | 2024-Oct-25 19:08 |
py3-tidalapi-0.7.4-r1.apk | 36425 | 2024-Oct-25 19:08 |
razercfg-pyc-0.42-r7.apk | 36475 | 2024-Oct-25 19:08 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 36489 | 2024-Oct-25 19:08 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 36509 | 2024-Oct-25 19:08 |
sentinel-proxy-2.1.0-r0.apk | 36547 | 2024-Oct-25 19:08 |
gutenprint-dev-5.3.4-r5.apk | 36591 | 2024-Oct-25 19:07 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 36640 | 2024-Oct-25 19:08 |
turnstile-0.1.10-r3.apk | 36669 | 2024-Oct-25 19:09 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 36699 | 2024-Oct-25 19:08 |
burp-server-3.1.4-r0.apk | 36729 | 2024-Oct-25 19:06 |
cocogitto-doc-6.2.0-r1.apk | 36773 | 2024-Dec-12 21:08 |
py3-pysubs2-1.8.0-r0.apk | 36788 | 2024-Dec-25 22:09 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 36825 | 2024-Oct-25 19:08 |
py3-mando-pyc-0.7.1-r3.apk | 36829 | 2024-Oct-25 19:08 |
gmcapsule-0.9.7-r0.apk | 36900 | 2025-Jan-08 19:12 |
ovos-audio-pyc-0.3.1-r0.apk | 36912 | 2024-Nov-21 13:31 |
pixiewps-1.4.2-r1.apk | 36922 | 2024-Oct-25 19:08 |
php83-pecl-ev-1.2.0-r0.apk | 36933 | 2024-Oct-25 19:08 |
php84-pecl-ev-1.2.0-r1.apk | 36962 | 2024-Oct-25 19:08 |
py3-cstruct-pyc-5.3-r1.apk | 37003 | 2024-Oct-25 19:08 |
bakelite-0.4.2-r0.apk | 37017 | 2024-Oct-25 19:05 |
abi-dumper-1.4-r1.apk | 37022 | 2025-Jan-25 07:03 |
peervpn-0.044-r5.apk | 37167 | 2024-Oct-25 19:08 |
ansible-bender-0.10.1-r2.apk | 37169 | 2024-Oct-25 19:05 |
ocaml-gmap-0.3.0-r2.apk | 37309 | 2024-Oct-25 19:07 |
lshell-0.9.18-r11.apk | 37329 | 2024-Oct-25 19:07 |
sigrok-cli-0.7.2-r0.apk | 37330 | 2024-Oct-25 19:08 |
endless-sky-doc-0.10.2-r0.apk | 37386 | 2024-Oct-25 19:07 |
py3-pyqrcode-1.2.1-r0.apk | 37435 | 2024-Oct-25 19:08 |
libserialport-dev-0.1.1-r1.apk | 37456 | 2024-Oct-25 19:07 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 37526 | 2024-Oct-25 19:08 |
py3-redmine-2.5.0-r0.apk | 37666 | 2024-Oct-25 19:08 |
lomiri-calculator-app-lang-4.0.2-r0.apk | 37697 | 2024-Oct-25 19:07 |
emacs-epkg-3.3.3_git20240713-r0.apk | 37704 | 2024-Oct-25 19:07 |
perl-test-unit-0.27-r0.apk | 37727 | 2024-Oct-25 19:08 |
py3-qasync-0.19.0-r2.apk | 37752 | 2024-Oct-25 19:08 |
rtptools-1.22-r2.apk | 37757 | 2024-Oct-25 19:08 |
py3-astral-3.2-r3.apk | 37917 | 2024-Oct-25 19:08 |
normaliz-3.10.4-r0.apk | 37958 | 2024-Oct-30 13:43 |
py3-pytaglib-3.0.0-r0.apk | 37959 | 2025-Jan-26 19:29 |
perl-libapreq2-doc-2.17-r2.apk | 37976 | 2024-Oct-25 19:08 |
kismet-nrf-51822-0.202307.1-r4.apk | 37999 | 2024-Nov-11 17:30 |
ttdl-doc-4.8.0-r0.apk | 38060 | 2025-Feb-01 17:06 |
sstp-client-1.0.20-r1.apk | 38060 | 2024-Dec-01 17:03 |
bananui-daemons-0.1.0-r0.apk | 38076 | 2024-Oct-25 19:05 |
perl-time-moment-0.44-r0.apk | 38101 | 2024-Oct-25 19:08 |
py3-aesedb-0.1.6-r2.apk | 38138 | 2024-Oct-25 19:08 |
jedi-language-server-pyc-0.43.1-r0.apk | 38188 | 2025-Jan-04 01:41 |
py3-dexml-pyc-0.5.1-r9.apk | 38386 | 2024-Oct-25 19:08 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 38390 | 2024-Oct-25 19:08 |
libmedc-dev-4.1.1-r4.apk | 38406 | 2024-Oct-25 19:07 |
arc-gtk2-20221218-r0.apk | 38429 | 2024-Oct-25 19:05 |
arc-lighter-gtk2-20221218-r0.apk | 38444 | 2024-Oct-25 19:05 |
symbiyosys-0.36-r0.apk | 38456 | 2024-Oct-25 19:08 |
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk | 38503 | 2024-Oct-30 05:03 |
tcl-curl-doc-7.22.0-r0.apk | 38565 | 2024-Oct-25 19:08 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 38581 | 2024-Oct-25 19:08 |
materia-dark-compact-gtk2-20210322-r1.apk | 38634 | 2024-Oct-25 19:07 |
materia-dark-gtk2-20210322-r1.apk | 38636 | 2024-Oct-25 19:07 |
py3-sh-2.1.0-r0.apk | 38664 | 2024-Nov-01 15:13 |
materia-gtk2-20210322-r1.apk | 38691 | 2024-Oct-25 19:07 |
materia-compact-gtk2-20210322-r1.apk | 38703 | 2024-Oct-25 19:07 |
libzrtpcpp-dev-4.7.0-r0.apk | 38763 | 2025-Jan-04 21:55 |
paprefs-lang-1.2-r2.apk | 38798 | 2024-Nov-22 22:07 |
slidge-matridge-pyc-0.1.0-r0.apk | 38831 | 2024-Oct-25 19:08 |
watchdog-5.16-r2.apk | 38833 | 2024-Oct-25 19:09 |
lyrics-in-terminal-1.7.0-r0.apk | 38977 | 2025-Jan-03 10:09 |
ocaml-rresult-0.7.0-r2.apk | 38994 | 2024-Oct-25 19:08 |
logwatch-doc-7.10-r1.apk | 39013 | 2024-Oct-25 19:07 |
openfortivpn-1.22.1-r0.apk | 39121 | 2024-Dec-11 21:31 |
gsettings-qt-0.2_git20220807-r1.apk | 39149 | 2024-Oct-25 19:07 |
ovos-gui-pyc-1.1.0-r0.apk | 39305 | 2024-Nov-25 12:41 |
zycore-dev-1.5.0-r0.apk | 39314 | 2024-Oct-25 19:09 |
arc-dark-gtk2-20221218-r0.apk | 39322 | 2024-Oct-25 19:05 |
py3-fastdiff-0.3.0-r5.apk | 39357 | 2024-Oct-25 19:08 |
linuxptp-doc-4.4-r0.apk | 39367 | 2024-Nov-20 02:43 |
py3-python-iptables-1.0.1-r1.apk | 39368 | 2024-Oct-25 19:08 |
repo-doc-2.50.1-r0.apk | 39376 | 2024-Dec-20 20:12 |
tree-sitter-hcl-1.1.0-r1.apk | 39399 | 2024-Oct-25 19:08 |
arc-darker-gtk2-20221218-r0.apk | 39433 | 2024-Oct-25 19:05 |
spampd-2.61-r1.apk | 39477 | 2024-Oct-25 19:08 |
libctl-dev-4.5.1-r1.apk | 39479 | 2024-Oct-25 19:07 |
varnish-modules-0.24.0-r0.apk | 39498 | 2024-Oct-25 19:09 |
ecasound-doc-2.9.3-r3.apk | 39527 | 2024-Oct-25 19:07 |
ghc-filesystem-1.5.14-r0.apk | 39542 | 2024-Oct-25 19:07 |
libbsoncxx-dev-3.8.0-r0.apk | 39583 | 2024-Oct-25 19:07 |
howard-bc-doc-7.0.3-r0.apk | 39592 | 2024-Oct-25 19:07 |
py3-twiggy-pyc-0.5.1-r4.apk | 39610 | 2024-Oct-25 19:08 |
waycheck-1.5.0-r0.apk | 39638 | 2024-Dec-21 23:38 |
kismet-nxp-kw41z-0.202307.1-r4.apk | 39668 | 2024-Nov-11 17:30 |
php81-pdo-8.1.31-r0.apk | 39691 | 2024-Nov-20 02:43 |
extundelete-0.2.4-r1.apk | 39806 | 2024-Oct-25 19:07 |
log4cpp-dev-1.1.4-r1.apk | 39835 | 2024-Oct-25 19:07 |
sturmreader-lang-3.7.2-r1.apk | 39838 | 2025-Jan-29 19:44 |
nfoview-2.0.1-r0.apk | 39873 | 2024-Oct-25 19:07 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 39897 | 2024-Oct-25 19:07 |
php81-pecl-memcache-8.2-r1.apk | 39915 | 2024-Oct-25 19:08 |
py3-sphobjinv-2.3.1.2-r0.apk | 39945 | 2024-Dec-28 18:13 |
granite7-dev-7.5.0-r0.apk | 39945 | 2024-Oct-25 19:07 |
66-tools-doc-0.1.1.0-r0.apk | 39952 | 2025-Jan-12 13:00 |
theme.sh-1.1.5-r0.apk | 39987 | 2024-Oct-25 19:08 |
perl-net-curl-doc-0.57-r0.apk | 40040 | 2025-Jan-25 07:04 |
py3-manuel-1.13.0-r0.apk | 40051 | 2024-Nov-30 20:13 |
py3-zope-configuration-5.0.1-r2.apk | 40077 | 2024-Oct-25 19:08 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 40120 | 2024-Oct-25 19:08 |
spread-sheet-widget-0.10-r0.apk | 40147 | 2024-Oct-25 19:08 |
crossplane-pyc-0.5.8-r3.apk | 40189 | 2024-Oct-25 19:06 |
s-postgray-0.8.3-r0.apk | 40218 | 2024-Oct-25 19:08 |
py3-empy-3.3.4-r7.apk | 40261 | 2024-Oct-25 19:08 |
py3-pyte-pyc-0.8.2-r2.apk | 40412 | 2024-Oct-25 19:08 |
volumeicon-0.5.1-r1.apk | 40473 | 2024-Oct-25 19:09 |
py3-createrepo_c-1.1.4-r0.apk | 40476 | 2024-Oct-25 19:08 |
nlopt-guile-2.10.0-r0.apk | 40522 | 2025-Feb-06 04:42 |
sentinel-minipot-2.3.0-r1.apk | 40568 | 2024-Oct-25 19:08 |
py3-compdb-pyc-0.2.0-r8.apk | 40580 | 2024-Oct-25 19:08 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 40627 | 2024-Oct-25 19:07 |
py3-fpdf-1.7.2-r5.apk | 40669 | 2024-Oct-25 19:08 |
apache2-mod-perl-dev-2.0.13-r1.apk | 40732 | 2024-Oct-25 19:05 |
cava-0.10.3-r0.apk | 40732 | 2024-Nov-20 02:43 |
libzn_poly-0.9.2-r2.apk | 40749 | 2024-Oct-25 19:07 |
py3-pillow_heif-0.18.0-r0.apk | 40781 | 2024-Oct-25 19:08 |
primesieve-12.6-r0.apk | 40839 | 2024-Dec-14 18:13 |
php81-mysqli-8.1.31-r0.apk | 40905 | 2024-Nov-20 02:43 |
bionic_translation-0_git20240525-r0.apk | 40905 | 2024-Oct-25 19:05 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 40933 | 2024-Oct-25 19:07 |
dislocker-libs-0.7.3-r5.apk | 40938 | 2024-Oct-25 19:06 |
libmpfi-static-1.5.4-r2.apk | 40957 | 2024-Oct-25 19:07 |
py3-pyzor-1.0.0-r11.apk | 41194 | 2024-Oct-25 19:08 |
py3-feedgen-1.0.0-r1.apk | 41197 | 2024-Oct-25 19:08 |
py3-bibtexparser-1.4.3-r0.apk | 41200 | 2025-Jan-25 07:04 |
kismet-linux-bluetooth-0.202307.1-r4.apk | 41234 | 2024-Nov-11 17:30 |
solanum-lang-5.0.0-r0.apk | 41249 | 2024-Oct-25 19:08 |
libbsoncxx-3.8.0-r0.apk | 41292 | 2024-Oct-25 19:07 |
py3-rich-click-pyc-1.7.3-r1.apk | 41303 | 2024-Oct-25 19:08 |
py3-pyvcd-pyc-0.4.1-r0.apk | 41421 | 2024-Nov-11 21:46 |
py3-flask-restless-0.17.0-r9.apk | 41453 | 2024-Oct-25 19:08 |
plzip-1.12-r0.apk | 41597 | 2025-Jan-15 08:35 |
supercollider-dev-3.13.0-r5.apk | 41631 | 2024-Oct-25 19:08 |
py3-ward-0.67.0_beta0-r2.apk | 41688 | 2024-Oct-25 19:08 |
youtube-viewer-doc-3.11.1-r0.apk | 41730 | 2024-Oct-25 19:09 |
py3-piper-tts-2023.11.14.2-r9.apk | 41771 | 2024-Dec-18 12:24 |
elementary-feedback-8.0.0-r0.apk | 41839 | 2024-Oct-27 14:17 |
py3-irc-20.4.1-r0.apk | 41876 | 2024-Oct-25 19:08 |
gupnp-av-dev-0.14.3-r0.apk | 41883 | 2025-Jan-15 23:26 |
bitlbee-mastodon-1.4.5-r0.apk | 41926 | 2024-Oct-25 19:05 |
psftools-dev-1.1.2-r0.apk | 42036 | 2024-Oct-25 19:08 |
yices2-dev-2.6.4-r0.apk | 42037 | 2024-Oct-25 19:09 |
gssdp-1.6.3-r0.apk | 42097 | 2024-Dec-01 12:57 |
py3-sqlmodel-pyc-0.0.22-r1.apk | 42172 | 2024-Dec-06 23:03 |
materia-dark-compact-gtk3-20210322-r1.apk | 42183 | 2024-Oct-25 19:07 |
materia-dark-gtk3-20210322-r1.apk | 42195 | 2024-Oct-25 19:07 |
py3-unearth-0.17.2-r0.apk | 42247 | 2024-Oct-25 19:08 |
sflowtool-6.02-r0.apk | 42255 | 2024-Oct-25 19:08 |
pebble-le-dev-0.3.0-r2.apk | 42282 | 2024-Dec-14 19:23 |
cpufetch-1.06-r0.apk | 42413 | 2024-Oct-25 19:06 |
py3-milc-pyc-1.9.1-r0.apk | 42495 | 2025-Jan-25 16:04 |
tree-sitter-make-0_git20211216-r2.apk | 42497 | 2024-Oct-25 19:08 |
mimeo-pyc-2023-r2.apk | 42552 | 2024-Oct-25 19:07 |
imediff-2.6-r1.apk | 42581 | 2024-Oct-25 19:07 |
litehtml-dev-0.9-r1.apk | 42651 | 2025-Jan-04 04:31 |
clinfo-3.0.23.01.25-r0.apk | 42752 | 2024-Oct-25 19:06 |
goomwwm-1.0.0-r5.apk | 42820 | 2024-Oct-25 19:07 |
mergerfs-doc-2.40.2-r1.apk | 42827 | 2024-Oct-25 19:07 |
dublin-traceroute-0.4.2-r4.apk | 42876 | 2024-Oct-25 19:07 |
hub-doc-2.14.2-r27.apk | 42925 | 2025-Jan-25 07:03 |
libzn_poly-static-0.9.2-r2.apk | 42954 | 2024-Oct-25 19:07 |
azpainter-doc-3.0.9-r0.apk | 43011 | 2024-Oct-25 19:05 |
kodi-audioencoder-flac-20.2.0-r1.apk | 43013 | 2024-Oct-25 19:07 |
libqofono-dev-0.124-r0.apk | 43104 | 2025-Jan-10 15:33 |
php81-pgsql-8.1.31-r0.apk | 43131 | 2024-Nov-20 02:43 |
lomiri-content-hub-lang-2.0.0-r0.apk | 43136 | 2024-Oct-25 19:07 |
py3-pycosat-0.6.6-r2.apk | 43165 | 2024-Oct-25 19:08 |
py3-pyparted-pyc-3.13.0-r1.apk | 43183 | 2024-Oct-25 19:08 |
git-revise-pyc-0.7.0-r5.apk | 43205 | 2024-Oct-25 19:07 |
py3-seqdiag-pyc-3.0.0-r5.apk | 43249 | 2024-Oct-25 19:08 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 43277 | 2024-Oct-25 19:08 |
libfyaml-dev-0.9-r0.apk | 43347 | 2024-Oct-25 19:07 |
waynergy-0.0.17-r0.apk | 43400 | 2024-Oct-25 19:09 |
nuklear-doc-4.12.0-r0.apk | 43427 | 2024-Oct-25 19:07 |
ubase-20200605-r3.apk | 43469 | 2024-Oct-25 19:09 |
py3-colander-pyc-2.0-r2.apk | 43499 | 2024-Oct-25 19:08 |
ocaml-ethernet-3.0.0-r3.apk | 43562 | 2024-Oct-25 19:07 |
gpscorrelate-2.2-r0.apk | 43591 | 2024-Nov-12 11:07 |
rygel-dev-0.44.1-r0.apk | 43619 | 2024-Dec-01 12:57 |
persistent-cache-cpp-1.0.7-r3.apk | 43652 | 2025-Jan-26 23:22 |
levmar-dev-2.6-r0.apk | 43673 | 2024-Oct-25 19:07 |
py3-isbnlib-3.10.14-r0.apk | 43687 | 2025-Jan-25 07:04 |
i2util-dev-4.2.1-r1.apk | 43715 | 2024-Oct-25 19:07 |
fplll-5.5.0-r0.apk | 43763 | 2024-Nov-20 02:43 |
fast_float-5.2.0-r1.apk | 43795 | 2024-Oct-25 19:07 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 43799 | 2024-Oct-25 19:08 |
pasystray-0.8.2-r0.apk | 43803 | 2024-Oct-25 19:08 |
ocaml-stringext-1.6.0-r2.apk | 43812 | 2024-Oct-25 19:08 |
py3-dunamai-pyc-1.23.0-r0.apk | 43864 | 2024-Dec-10 07:50 |
xwaylandvideobridge-0.4.0-r1.apk | 43886 | 2024-Oct-25 19:09 |
py3-bookkeeper-4.17.1-r0.apk | 43931 | 2024-Oct-25 19:08 |
tree-sitter-gleam-1.0.0-r0.apk | 43942 | 2024-Oct-25 19:08 |
cvs-fast-export-1.65-r0.apk | 43957 | 2024-Oct-25 19:06 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 43966 | 2024-Oct-25 19:07 |
php81-pecl-memcached-3.3.0-r0.apk | 43987 | 2024-Oct-25 19:08 |
perl-extutils-xsbuilder-0.28-r5.apk | 44080 | 2024-Oct-25 19:08 |
jalv-1.6.8-r1.apk | 44148 | 2024-Oct-25 19:07 |
py3-cdio-pyc-2.1.1-r6.apk | 44185 | 2025-Jan-25 07:04 |
libiio-0.25-r2.apk | 44209 | 2024-Oct-25 19:07 |
py3-ovos-config-1.0.0-r0.apk | 44256 | 2024-Nov-25 12:41 |
py3-coreapi-pyc-2.3.3-r9.apk | 44303 | 2024-Oct-25 19:08 |
opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk | 44364 | 2024-Nov-11 17:30 |
xone-src-0.3_git20230517-r0.apk | 44458 | 2024-Oct-25 19:09 |
emacs-avy-0.5.0_git20230420-r0.apk | 44459 | 2024-Oct-25 19:07 |
elementary-feedback-lang-8.0.0-r0.apk | 44514 | 2024-Oct-27 14:17 |
py3-spake2-pyc-0.9-r0.apk | 44554 | 2024-Oct-25 19:08 |
rhasspy-nlu-0.4.0-r3.apk | 44636 | 2024-Oct-25 19:08 |
perl-net-xmpp-doc-1.05-r0.apk | 44667 | 2024-Oct-25 19:08 |
imediff-pyc-2.6-r1.apk | 44708 | 2024-Oct-25 19:07 |
py3-pysimplesoap-1.16.2-r7.apk | 44716 | 2024-Oct-25 19:08 |
py3-mistletoe-1.2.1-r2.apk | 44843 | 2024-Oct-25 19:08 |
createrepo_c-1.1.4-r0.apk | 44854 | 2024-Oct-25 19:06 |
ustr-1.0.4-r1.apk | 44868 | 2024-Oct-25 19:09 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930..> | 44891 | 2024-Oct-25 19:08 |
goawk-doc-1.29.1-r1.apk | 44902 | 2025-Jan-25 07:03 |
perl-xml-stream-1.24-r0.apk | 45074 | 2024-Oct-25 19:08 |
tcc-dev-0.9.27_git20250106-r0.apk | 45131 | 2025-Feb-04 17:20 |
py3-shodan-1.31.0-r1.apk | 45153 | 2024-Oct-25 19:08 |
apk-tools3-3.0.0_pre3_git20241029-r0.apk | 45191 | 2024-Oct-30 05:03 |
xfce4-panel-profiles-lang-1.0.14-r1.apk | 45370 | 2024-Oct-25 19:09 |
py3-rtree-pyc-1.3.0-r0.apk | 45445 | 2025-Jan-04 05:20 |
ocaml-duration-dev-0.2.0-r2.apk | 45658 | 2024-Oct-25 19:07 |
dooit-3.1.0-r0.apk | 45699 | 2024-Dec-07 20:22 |
py3-gtkspellcheck-5.0.3-r0.apk | 45788 | 2024-Dec-07 20:32 |
sopwith-2.5.0-r0.apk | 45830 | 2024-Oct-25 19:08 |
pantalaimon-0.10.5-r4.apk | 45834 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0...> | 45902 | 2024-Oct-25 19:08 |
ocfs2-tools-dev-1.8.7-r4.apk | 46023 | 2024-Oct-25 19:08 |
ettercap-doc-0.8.3.1-r3.apk | 46203 | 2024-Oct-25 19:07 |
py3-zipfile2-0.0.12-r0.apk | 46237 | 2024-Oct-25 19:08 |
py3-zope-schema-7.0.1-r3.apk | 46345 | 2024-Oct-25 19:08 |
py3-pyautogui-pyc-0.9.53-r5.apk | 46363 | 2024-Oct-25 19:08 |
ocaml-uuidm-0.9.8-r2.apk | 46387 | 2024-Oct-25 19:08 |
libreoffice-voikko-5.0_git20200127-r0.apk | 46461 | 2024-Oct-25 19:07 |
py3-ovos-bus-client-1.0.4-r0.apk | 46518 | 2024-Nov-21 13:31 |
py3-aiowinreg-pyc-0.0.12-r0.apk | 46521 | 2024-Oct-25 19:08 |
soapy-bladerf-0.4.2-r0.apk | 46630 | 2024-Dec-31 01:11 |
endeavour-dev-43.0-r2.apk | 46682 | 2024-Dec-08 21:36 |
glfw-wayland-dev-3.3.8-r3.apk | 46686 | 2024-Oct-25 19:07 |
nvim-packer-0.0.0_git20220910-r1.apk | 46702 | 2024-Oct-25 19:07 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 46732 | 2024-Oct-25 19:08 |
kodaskanna-0.2.2-r0.apk | 46769 | 2025-Jan-25 07:04 |
fungw-perl-1.2.1-r0.apk | 46852 | 2024-Dec-30 09:48 |
php81-pecl-event-3.1.4-r0.apk | 46925 | 2024-Oct-25 19:08 |
py3-distorm3-3.5.2-r6.apk | 46950 | 2024-Oct-25 19:08 |
py3-ovos-backend-client-1.0.0-r0.apk | 47018 | 2024-Oct-25 19:08 |
emacs-hydra-0.15.0_git20220910-r0.apk | 47044 | 2024-Oct-25 19:07 |
py3-bandwidth-sdk-3.1.0-r8.apk | 47105 | 2024-Oct-25 19:08 |
ocaml-uuseg-dev-14.0.0-r2.apk | 47108 | 2024-Oct-25 19:08 |
py3-mopidy-mpd-3.3.0-r4.apk | 47121 | 2024-Oct-25 19:08 |
openwsman-2.7.2-r5.apk | 47125 | 2024-Oct-25 19:08 |
libucl-0.9.0-r0.apk | 47162 | 2024-Oct-25 19:07 |
pimd-dense-2.1.0-r0.apk | 47228 | 2024-Oct-25 19:08 |
xa-2.3.14-r0.apk | 47288 | 2024-Oct-25 19:09 |
ocaml-bigstringaf-0.9.0-r2.apk | 47352 | 2024-Oct-25 19:07 |
fox-pathfinder-1.6.57-r0.apk | 47356 | 2024-Oct-25 19:07 |
fusesoc-2.3-r0.apk | 47415 | 2024-Oct-25 19:07 |
gpg-remailer-3.04.07-r1.apk | 47511 | 2024-Oct-25 19:07 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 47578 | 2024-Nov-29 22:03 |
spiritvnc-0.6.5-r0.apk | 47623 | 2024-Nov-03 05:09 |
sblim-sfcc-2.2.8-r3.apk | 47635 | 2024-Oct-25 19:08 |
acmetool-doc-0.2.2-r9.apk | 47727 | 2025-Jan-25 07:03 |
gtkhash-lang-1.5-r0.apk | 47746 | 2024-Oct-25 19:07 |
khronos-4.0.1-r0.apk | 47884 | 2024-Oct-25 19:07 |
py3-gls-1.3.1-r1.apk | 47891 | 2024-Oct-25 19:08 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 47941 | 2024-Oct-25 19:07 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 48094 | 2024-Oct-25 19:08 |
py3-flask-limiter-pyc-3.10.1-r0.apk | 48102 | 2025-Jan-25 07:04 |
portsmf-239-r1.apk | 48165 | 2024-Oct-25 19:08 |
py3-rosdistro-0.9.0-r3.apk | 48198 | 2024-Oct-25 19:08 |
py3-yapsy-pyc-1.12.2-r7.apk | 48271 | 2024-Oct-25 19:08 |
py3-markdown2-2.5.0-r0.apk | 48350 | 2024-Oct-25 19:08 |
py3-soappy-0.52.30-r0.apk | 48397 | 2024-Dec-02 22:54 |
libvdpau-va-gl-0.4.2-r0.apk | 48404 | 2024-Oct-25 19:07 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 48404 | 2024-Oct-25 19:08 |
meli-doc-0.8.10-r0.apk | 48458 | 2024-Dec-16 10:10 |
lomiri-libusermetrics-lang-1.3.3-r0.apk | 48494 | 2024-Oct-25 19:07 |
postgresql-pg_partman-doc-5.0.0-r0.apk | 48504 | 2024-Oct-25 19:08 |
gkrellm-server-2.3.11-r0.apk | 48522 | 2025-Jan-08 22:36 |
ocaml-tsdl-image-0.6-r0.apk | 48555 | 2024-Oct-25 19:08 |
elementary-music-lang-8.0.0-r0.apk | 48624 | 2024-Oct-28 22:05 |
systemd-boot-257.2-r0.apk | 48626 | 2025-Feb-04 17:20 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 48637 | 2024-Oct-25 19:08 |
py3-marshmallow-3.26.0-r0.apk | 48660 | 2025-Jan-29 06:48 |
polyglot-doc-2.0.4-r1.apk | 48715 | 2024-Oct-25 19:08 |
startup-doc-2.0.3-r5.apk | 48737 | 2024-Oct-25 19:08 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 48831 | 2024-Oct-25 19:08 |
wiringx-0_git20240317-r1.apk | 48858 | 2024-Oct-25 19:09 |
perl-regexp-grammars-doc-1.058-r0.apk | 48937 | 2024-Oct-25 19:08 |
daemontools-0.76-r3.apk | 48949 | 2024-Oct-25 19:06 |
s-dkim-sign-0.6.2-r0.apk | 48968 | 2024-Oct-25 19:08 |
bananui-2.0.0-r0.apk | 49288 | 2024-Oct-25 19:05 |
ocaml-mtime-dev-1.4.0-r2.apk | 49307 | 2024-Oct-25 19:07 |
tremc-0.9.3-r0.apk | 49364 | 2024-Oct-25 19:08 |
perl-test-unit-doc-0.27-r0.apk | 49468 | 2024-Oct-25 19:08 |
php84-pecl-uv-0.3.0-r0.apk | 49478 | 2024-Oct-28 12:47 |
perl-net-jabber-doc-2.0-r0.apk | 49488 | 2024-Oct-25 19:08 |
php83-pecl-uv-0.3.0-r0.apk | 49490 | 2024-Oct-25 19:08 |
ruby-yard-doc-0.9.37-r0.apk | 49563 | 2024-Oct-25 19:08 |
coxeter-3.0-r1.apk | 49636 | 2024-Oct-25 19:06 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 49653 | 2024-Oct-25 19:08 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 49689 | 2024-Oct-25 19:08 |
ace-of-penguins-doc-1.4-r3.apk | 49750 | 2024-Oct-25 19:05 |
ocaml-mtime-1.4.0-r2.apk | 49786 | 2024-Oct-25 19:07 |
py3-distorm3-pyc-3.5.2-r6.apk | 49821 | 2024-Oct-25 19:08 |
urlwatch-2.28-r2.apk | 49878 | 2024-Oct-25 19:09 |
parcellite-lang-1.2.5-r0.apk | 49949 | 2024-Oct-25 19:08 |
py3-bibtexparser-pyc-1.4.3-r0.apk | 49955 | 2025-Jan-25 07:04 |
serialdv-libs-1.1.4-r0.apk | 49996 | 2024-Oct-25 19:08 |
ocaml-merlin-extend-0.6.1-r2.apk | 50129 | 2024-Oct-25 19:07 |
tinyscheme-1.42-r1.apk | 50140 | 2024-Oct-25 19:08 |
perl-minion-doc-10.31-r0.apk | 50161 | 2024-Oct-25 19:08 |
libstirshaken-0_git20240208-r2.apk | 50275 | 2024-Oct-25 19:07 |
metadata-cleaner-2.5.6-r0.apk | 50298 | 2025-Feb-01 17:06 |
lynis-doc-3.1.1-r0.apk | 50313 | 2024-Oct-25 19:07 |
mimalloc1-insecure-1.8.6-r0.apk | 50458 | 2024-Oct-25 19:07 |
php81-pecl-ds-1.5.0-r0.apk | 50546 | 2024-Oct-25 19:08 |
clevis-21-r0.apk | 50558 | 2025-Jan-25 07:03 |
ocamlnet-tcl-4.1.9-r2.apk | 50695 | 2024-Oct-25 19:08 |
py3-radon-pyc-6.0.1-r2.apk | 50754 | 2024-Oct-25 19:08 |
py3-liblarch-pyc-3.2.0-r6.apk | 50794 | 2024-Dec-08 21:36 |
swaks-doc-20240103.0-r0.apk | 50817 | 2024-Oct-25 19:08 |
py3-spotipy-pyc-2.24.0-r1.apk | 50827 | 2024-Oct-25 19:08 |
perl-statistics-basic-doc-1.6611-r0.apk | 50976 | 2024-Oct-25 19:08 |
tcc-doc-0.9.27_git20250106-r0.apk | 51005 | 2025-Feb-04 17:20 |
mrsh-libs-0_git20210518-r1.apk | 51053 | 2024-Oct-25 19:07 |
py3-requests-cache-1.2.1-r1.apk | 51128 | 2024-Nov-20 02:43 |
ytmdl-2024.08.15.1-r0.apk | 51227 | 2024-Oct-25 19:09 |
policycoreutils-3.6-r1.apk | 51237 | 2024-Oct-25 19:08 |
py3-dt-schema-pyc-2024.11-r0.apk | 51297 | 2024-Nov-11 18:13 |
gupnp-dev-1.6.8-r0.apk | 51537 | 2025-Jan-25 07:03 |
bitlbee-facebook-1.2.2-r0.apk | 51540 | 2024-Oct-25 19:05 |
kabmat-2.7.0-r0.apk | 51568 | 2024-Oct-25 19:07 |
milkytracker-doc-1.04.00-r2.apk | 51604 | 2024-Oct-25 19:07 |
shine-3.1.1-r0.apk | 51634 | 2024-Oct-25 19:08 |
py3-pbs-installer-2024.12.19-r0.apk | 51792 | 2024-Dec-31 01:10 |
py3-mss-10.0.0-r0.apk | 51805 | 2024-Nov-14 13:10 |
perl-net-jabber-2.0-r0.apk | 51816 | 2024-Oct-25 19:08 |
py3-lunr-pyc-0.6.2-r4.apk | 51839 | 2024-Oct-25 19:08 |
kodi-vfs-sftp-20.2.0-r1.apk | 52038 | 2024-Oct-25 19:07 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 52053 | 2024-Oct-25 19:05 |
libnfc-1.8.0-r1.apk | 52215 | 2024-Oct-25 19:07 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 52417 | 2024-Oct-25 19:08 |
py3-sphobjinv-pyc-2.3.1.2-r0.apk | 52571 | 2024-Dec-28 18:13 |
py3-tasklib-pyc-2.5.1-r2.apk | 52762 | 2024-Oct-25 19:08 |
php81-pecl-apcu-5.1.24-r0.apk | 52766 | 2024-Oct-25 19:08 |
py3-zimscraperlib-3.4.0-r0.apk | 52853 | 2024-Nov-06 09:41 |
perl-libapreq2-dev-2.17-r2.apk | 52904 | 2024-Oct-25 19:08 |
rkdeveloptool-1.1.0-r1.apk | 52943 | 2024-Oct-25 19:08 |
py3-dnslib-0.9.25-r0.apk | 52995 | 2024-Oct-25 19:08 |
megatools-doc-1.11.1.20241028-r0.apk | 53055 | 2024-Oct-29 19:34 |
castero-0.9.5-r3.apk | 53105 | 2024-Oct-25 19:06 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 53169 | 2024-Oct-25 19:08 |
py3-pyatem-0.5.0-r4.apk | 53205 | 2024-Oct-25 19:08 |
granite7-lang-7.5.0-r0.apk | 53219 | 2024-Oct-25 19:07 |
libiscsi-1.19.0-r2.apk | 53224 | 2024-Oct-25 19:07 |
ocaml-iso8601-0.2.6-r0.apk | 53317 | 2024-Oct-25 19:07 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 53339 | 2024-Oct-25 19:07 |
ttfautohint-gui-1.8.4-r0.apk | 53623 | 2024-Oct-25 19:09 |
py3-pypubsub-4.0.3-r0.apk | 53643 | 2024-Oct-25 19:08 |
libxml++-5.0.3-r1.apk | 53712 | 2024-Oct-25 19:07 |
mpdcron-dev-0.3-r1.apk | 53718 | 2024-Oct-25 19:07 |
apt-dater-1.0.4-r4.apk | 53868 | 2024-Oct-25 19:05 |
ccrtp-dev-2.1.2-r0.apk | 53978 | 2024-Oct-25 19:06 |
py3-dogpile.cache-1.3.3-r0.apk | 54000 | 2024-Oct-25 19:08 |
gingerbase-lang-2.3.0-r7.apk | 54133 | 2024-Oct-25 19:07 |
hexer-1.4.0-r16.apk | 54228 | 2024-Nov-08 00:05 |
recoll-dev-1.37.5-r1.apk | 54324 | 2024-Oct-25 19:08 |
libfishsound-dev-1.0.0-r1.apk | 54372 | 2024-Oct-25 19:07 |
py3-dep-logic-pyc-0.4.10-r0.apk | 54425 | 2024-Dec-15 22:01 |
timew-doc-1.4.3-r1.apk | 54428 | 2024-Oct-25 19:08 |
py3-wstools-0.4.10-r7.apk | 54480 | 2024-Oct-25 19:08 |
ocaml-hex-dev-1.5.0-r2.apk | 54770 | 2024-Oct-25 19:07 |
py3-redmine-pyc-2.5.0-r0.apk | 54876 | 2024-Oct-25 19:08 |
mimalloc1-1.8.6-r0.apk | 54925 | 2024-Oct-25 19:07 |
ocaml-ptmap-2.0.5-r3.apk | 54968 | 2024-Oct-25 19:08 |
perl-glib-object-introspection-0.051-r1.apk | 54976 | 2024-Oct-25 19:08 |
py3-pyzor-pyc-1.0.0-r11.apk | 54989 | 2024-Oct-25 19:08 |
py3-c3d-pyc-0.5.2-r1.apk | 54992 | 2024-Oct-25 19:08 |
mcjoin-doc-2.11-r0.apk | 55020 | 2024-Oct-25 19:07 |
freediameter-dev-1.5.0-r1.apk | 55075 | 2024-Oct-25 19:07 |
autoremove-torrents-pyc-1.5.5-r0.apk | 55082 | 2024-Oct-25 19:05 |
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 55098 | 2024-Oct-25 19:07 |
qspectrumanalyzer-2.2.0-r5.apk | 55133 | 2024-Oct-25 19:08 |
libarb-dev-2.23.0-r2.apk | 55160 | 2024-Oct-25 19:07 |
mat2-pyc-0.13.4-r3.apk | 55161 | 2024-Oct-25 19:07 |
postgresql-pg_variables-bitcode-1.2.5_git2023092..> | 55237 | 2024-Oct-25 19:08 |
libsirocco-2.1.0-r2.apk | 55328 | 2024-Oct-25 19:07 |
py3-mapbox-earcut-1.0.1-r2.apk | 55361 | 2024-Oct-25 19:08 |
py3-rospkg-pyc-1.2.9-r5.apk | 55426 | 2024-Oct-25 19:08 |
shntool-3.0.10-r4.apk | 55552 | 2024-Oct-25 19:08 |
htmlcxx-0.87-r1.apk | 55571 | 2024-Oct-25 19:07 |
metalang99-1.13.3-r0.apk | 55601 | 2024-Oct-25 19:07 |
pulsar-client-cpp-dev-3.1.2-r4.apk | 55889 | 2024-Oct-25 19:08 |
fabric-3.2.2-r1.apk | 55946 | 2024-Oct-25 19:07 |
nvim-cmp-0.0.0_git20221011-r1.apk | 56161 | 2024-Oct-25 19:07 |
py3-sh-pyc-2.1.0-r0.apk | 56170 | 2024-Nov-01 15:13 |
py3-trivup-pyc-0.12.2-r2.apk | 56226 | 2024-Oct-25 19:08 |
elfio-dev-3.12-r0.apk | 56296 | 2024-Oct-25 19:07 |
perl-net-curl-0.57-r0.apk | 56311 | 2025-Jan-25 07:04 |
php81-pecl-amqp-2.1.2-r0.apk | 56329 | 2024-Oct-25 19:08 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 56371 | 2024-Oct-25 19:07 |
gf2x-dev-1.3.0-r1.apk | 56448 | 2024-Oct-25 19:07 |
belle-sip-dev-5.3.83-r0.apk | 56495 | 2024-Oct-25 19:05 |
ocaml-uutf-dev-1.0.3-r2.apk | 56564 | 2024-Oct-25 19:08 |
lua5.2-lanes-3.16.0-r1.apk | 56566 | 2024-Oct-25 19:07 |
lomiri-ui-extras-lang-0.6.3-r1.apk | 56591 | 2024-Oct-25 19:07 |
backup-manager-0.7.15-r1.apk | 56642 | 2024-Oct-25 19:05 |
git-extras-7.3.0-r0.apk | 56695 | 2024-Nov-20 02:43 |
lua5.1-lanes-3.16.0-r1.apk | 56733 | 2024-Oct-25 19:07 |
gdcm-doc-3.0.24-r0.apk | 56766 | 2024-Oct-25 19:07 |
bliss-0.77-r1.apk | 56792 | 2024-Oct-25 19:05 |
libtommath-dev-1.2.1-r0.apk | 56909 | 2024-Oct-25 19:07 |
sloccount-2.26-r3.apk | 56924 | 2024-Oct-25 19:08 |
libnfc-tools-1.8.0-r1.apk | 57096 | 2024-Oct-25 19:07 |
oblibs-dev-0.3.2.1-r0.apk | 57201 | 2025-Jan-25 16:46 |
lua5.4-lanes-3.16.0-r1.apk | 57347 | 2024-Oct-25 19:07 |
py3-pyspinel-1.0.3-r1.apk | 57358 | 2024-Oct-25 19:08 |
lua5.3-lanes-3.16.0-r1.apk | 57493 | 2024-Oct-25 19:07 |
planarity-libs-3.0.2.0-r2.apk | 57520 | 2024-Oct-25 19:08 |
66-tools-0.1.1.0-r0.apk | 57604 | 2025-Jan-12 13:00 |
py3-qpageview-doc-0.6.2-r1.apk | 57644 | 2024-Oct-25 19:08 |
pympress-lang-1.8.5-r1.apk | 57646 | 2024-Oct-25 19:08 |
py3-scour-0.38.2-r1.apk | 57741 | 2024-Oct-25 19:08 |
charls-2.4.2-r0.apk | 57768 | 2024-Oct-25 19:06 |
xfce4-panel-profiles-1.0.14-r1.apk | 57876 | 2024-Oct-25 19:09 |
rtl-power-fftw-20200601-r4.apk | 57995 | 2024-Oct-25 19:08 |
twemproxy-0.5.0-r0.apk | 58062 | 2024-Oct-25 19:09 |
notification-daemon-3.20.0-r0.apk | 58078 | 2024-Oct-25 19:07 |
coxeter-dev-3.0-r1.apk | 58082 | 2024-Oct-25 19:06 |
py3-qbittorrent-api-2024.12.71-r0.apk | 58098 | 2024-Dec-23 21:41 |
php81-dom-8.1.31-r0.apk | 58170 | 2024-Nov-20 02:43 |
liquibase-doc-4.9.1-r0.apk | 58256 | 2024-Oct-25 19:07 |
py3-pbs-installer-pyc-2024.12.19-r0.apk | 58296 | 2024-Dec-31 01:10 |
glfw-wayland-3.3.8-r3.apk | 58412 | 2024-Oct-25 19:07 |
libiml-static-1.0.5-r3.apk | 58513 | 2024-Oct-25 19:07 |
py3-catkin-pkg-0.5.2-r4.apk | 58522 | 2024-Oct-25 19:08 |
tinygltf-dev-2.9.4-r0.apk | 58552 | 2025-Jan-25 07:04 |
elementary-calculator-lang-8.0.0-r0.apk | 58677 | 2024-Oct-28 22:05 |
drupal7-doc-7.103-r0.apk | 58843 | 2024-Dec-04 17:28 |
libiml-1.0.5-r3.apk | 58879 | 2024-Oct-25 19:07 |
kfc-0.1.4-r0.apk | 58949 | 2024-Oct-25 19:07 |
xsecurelock-1.9.0-r1.apk | 58973 | 2024-Oct-25 19:09 |
perl-net-xmpp-1.05-r0.apk | 59023 | 2024-Oct-25 19:08 |
openwsman-dev-2.7.2-r5.apk | 59040 | 2024-Oct-25 19:08 |
vcstool-pyc-0.3.0-r5.apk | 59109 | 2024-Oct-25 19:09 |
mint-y-theme-metacity-2.1.1-r0.apk | 59119 | 2024-Oct-25 19:07 |
libqd-dev-2.3.24-r0.apk | 59128 | 2024-Oct-25 19:07 |
libwbxml-0.11.8-r0.apk | 59173 | 2024-Oct-25 19:07 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0...> | 59339 | 2024-Oct-25 19:08 |
nvtop-3.1.0-r0.apk | 59346 | 2024-Oct-25 19:07 |
font-tiresias-doc-0_git20200704-r0.apk | 59545 | 2024-Oct-25 19:07 |
kismet-linux-wifi-0.202307.1-r4.apk | 59599 | 2024-Nov-11 17:30 |
sbase-doc-0_git20210730-r3.apk | 59636 | 2024-Oct-25 19:08 |
fildesh-0.2.0-r0.apk | 59643 | 2024-Oct-25 19:07 |
py3-sphinx-autoapi-pyc-3.4.0-r0.apk | 59716 | 2024-Dec-04 21:57 |
libantlr3c-dev-3.4-r3.apk | 59777 | 2024-Oct-25 19:07 |
perl-net-async-redis-6.006-r0.apk | 59835 | 2024-Dec-11 16:22 |
sthttpd-2.27.1-r2.apk | 59874 | 2024-Oct-25 19:08 |
py3-empy-pyc-3.3.4-r7.apk | 59897 | 2024-Oct-25 19:08 |
epoch-1.3.0-r2.apk | 59962 | 2024-Oct-25 19:07 |
anari-sdk-dev-0.7.2-r0.apk | 59979 | 2024-Oct-25 19:05 |
gupnp-dlna-0.12.0-r0.apk | 59996 | 2024-Dec-01 12:57 |
xfce4-mixer-lang-4.18.1-r2.apk | 60151 | 2024-Oct-25 19:09 |
py3-astral-pyc-3.2-r3.apk | 60336 | 2024-Oct-25 19:08 |
pebble-le-0.3.0-r2.apk | 60376 | 2024-Dec-14 19:23 |
yodl-doc-4.02.00-r1.apk | 60404 | 2024-Oct-25 19:09 |
plplot-dev-5.15.0-r2.apk | 60413 | 2024-Oct-25 19:08 |
py3-flask-restless-pyc-0.17.0-r9.apk | 60481 | 2024-Oct-25 19:08 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 60533 | 2024-Oct-25 19:07 |
pqiv-2.12-r1.apk | 60659 | 2024-Oct-25 19:08 |
perl-json-validator-5.14-r0.apk | 60736 | 2024-Oct-25 19:08 |
ocaml-easy-format-1.3.4-r1.apk | 60754 | 2024-Oct-25 19:07 |
decoder-lang-0.6.1-r0.apk | 60782 | 2024-Oct-25 19:06 |
sloccount-doc-2.26-r3.apk | 60794 | 2024-Oct-25 19:08 |
libofx-0.10.9-r1.apk | 60838 | 2024-Oct-25 19:07 |
howard-bc-7.0.3-r0.apk | 60867 | 2024-Oct-25 19:07 |
polyglot-2.0.4-r1.apk | 60943 | 2024-Oct-25 19:08 |
ding-libs-0.6.2-r4.apk | 60947 | 2024-Oct-25 19:06 |
nvim-lualine-0.0.0_git20241101-r0.apk | 60978 | 2024-Nov-13 23:16 |
megatools-1.11.1.20241028-r0.apk | 61036 | 2024-Oct-29 19:34 |
psftools-doc-1.1.2-r0.apk | 61075 | 2024-Oct-25 19:08 |
fdm-materials-5.2.2-r1.apk | 61098 | 2024-Oct-25 19:07 |
opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk | 61099 | 2024-Nov-11 17:30 |
mxclient-0_git20211002-r1.apk | 61355 | 2024-Oct-25 19:07 |
horizon-image-0.9.6-r9.apk | 61474 | 2024-Oct-25 19:07 |
mpop-1.4.21-r0.apk | 61507 | 2025-Jan-14 05:15 |
fabric-pyc-3.2.2-r1.apk | 61592 | 2024-Oct-25 19:07 |
openscap-daemon-0.1.10-r9.apk | 61608 | 2024-Oct-25 19:08 |
py3-aiodocker-pyc-0.21.0-r1.apk | 61835 | 2024-Oct-25 19:08 |
idesk-1-r1.apk | 61932 | 2024-Oct-25 19:07 |
mnamer-pyc-2.5.5-r1.apk | 61932 | 2024-Oct-25 19:07 |
ustr-debug-1.0.4-r1.apk | 61960 | 2024-Oct-25 19:09 |
gmcapsule-pyc-0.9.7-r0.apk | 62083 | 2025-Jan-08 19:12 |
wlroots0.12-dev-0.12.0-r1.apk | 62149 | 2024-Oct-25 19:09 |
zydis-dev-4.1.0-r0.apk | 62279 | 2024-Oct-25 19:09 |
py3-unicrypto-0.0.10-r2.apk | 62375 | 2024-Oct-25 19:08 |
py3-zope-schema-pyc-7.0.1-r3.apk | 62533 | 2024-Oct-25 19:08 |
lomiri-terminal-app-2.0.3-r0.apk | 62635 | 2024-Nov-12 17:18 |
libabigail-doc-2.3-r0.apk | 62710 | 2024-Oct-25 19:07 |
guish-doc-2.6.11-r0.apk | 62758 | 2024-Dec-24 10:42 |
gingerbase-pyc-2.3.0-r7.apk | 62949 | 2024-Oct-25 19:07 |
dcnnt-pyc-0.10.0-r1.apk | 63150 | 2024-Oct-25 19:06 |
py3-feedgen-pyc-1.0.0-r1.apk | 63169 | 2024-Oct-25 19:08 |
font-tamzen-1.11.5-r1.apk | 63350 | 2024-Oct-25 19:07 |
lomiri-terminal-app-lang-2.0.3-r0.apk | 63600 | 2024-Nov-12 17:18 |
lua5.1-luastatic-0.0.12-r1.apk | 63621 | 2024-Oct-25 19:07 |
emacs-gnosis-0.3.2-r0.apk | 63635 | 2024-Oct-25 19:07 |
ocaml-tsdl-ttf-0.6-r0.apk | 63693 | 2024-Oct-25 19:08 |
py3-tidalapi-pyc-0.7.4-r1.apk | 63710 | 2024-Oct-25 19:08 |
jbigkit-2.1-r2.apk | 63714 | 2024-Oct-25 19:07 |
sshuttle-1.1.2-r0.apk | 63741 | 2024-Oct-25 19:08 |
qspectrumanalyzer-pyc-2.2.0-r5.apk | 63780 | 2024-Oct-25 19:08 |
libqtdbusmock-0.9.1-r1.apk | 63824 | 2024-Oct-25 19:07 |
btpd-0.16-r2.apk | 63901 | 2024-Oct-25 19:06 |
py3-colander-2.0-r2.apk | 63967 | 2024-Oct-25 19:08 |
clevis-dbg-21-r0.apk | 63983 | 2025-Jan-25 07:03 |
proot-5.4.0-r1.apk | 63992 | 2024-Oct-25 19:08 |
ueberzug-18.2.3-r0.apk | 63996 | 2024-Oct-25 19:09 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 64239 | 2024-Nov-24 17:25 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 64280 | 2024-Nov-24 17:25 |
hping3-20051105-r4.apk | 64296 | 2024-Oct-25 19:07 |
libxo-doc-1.7.5-r0.apk | 64327 | 2025-Jan-12 22:45 |
hidrd-0.2.0_git20190603-r1.apk | 64439 | 2024-Oct-25 19:07 |
pipeline-lang-2.1.1-r0.apk | 64440 | 2025-Feb-04 17:20 |
py3-pyspinel-pyc-1.0.3-r1.apk | 64551 | 2024-Oct-25 19:08 |
moosefs-cgi-3.0.117-r2.apk | 64724 | 2024-Oct-25 19:07 |
libnbcompat-dev-1.0.2-r0.apk | 64742 | 2024-Oct-25 19:07 |
libupstart-2.0.3-r5.apk | 64781 | 2024-Oct-25 19:07 |
clipit-1.4.5-r3.apk | 64803 | 2024-Oct-25 19:06 |
git-extras-doc-7.3.0-r0.apk | 64870 | 2024-Nov-20 02:43 |
cvise-pyc-2.8.0-r2.apk | 64874 | 2024-Oct-25 19:06 |
kodi-game-libretro-desmume-0.0.1.28-r0.apk | 65099 | 2024-Oct-25 19:07 |
moosefs-doc-3.0.117-r2.apk | 65288 | 2024-Oct-25 19:07 |
perl-snmp-5.0404-r13.apk | 65519 | 2024-Oct-25 19:08 |
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 65546 | 2024-Oct-25 19:07 |
libeantic-2.0.2-r1.apk | 65673 | 2024-Oct-25 19:07 |
masky-pyc-0.2.0-r1.apk | 65868 | 2024-Oct-25 19:07 |
fastd-23-r0.apk | 65911 | 2025-Jan-27 21:33 |
musikcube-plugin-httpdatastream-3.0.4-r1.apk | 65960 | 2025-Jan-26 19:29 |
ueberzug-pyc-18.2.3-r0.apk | 65961 | 2024-Oct-25 19:09 |
materia-compact-gtk3-20210322-r1.apk | 66099 | 2024-Oct-25 19:07 |
gufw-pyc-24.04-r3.apk | 66124 | 2024-Nov-20 02:43 |
materia-gtk3-20210322-r1.apk | 66213 | 2024-Oct-25 19:07 |
log4cpp-1.1.4-r1.apk | 66226 | 2024-Oct-25 19:07 |
purple-facebook-0.9.6-r0.apk | 66235 | 2024-Oct-25 19:08 |
php81-ffi-8.1.31-r0.apk | 66312 | 2024-Nov-20 02:43 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 66314 | 2024-Oct-25 19:08 |
ansible-bender-pyc-0.10.1-r2.apk | 66566 | 2024-Oct-25 19:05 |
libiscsi-static-1.19.0-r2.apk | 66666 | 2024-Oct-25 19:07 |
py3-minidump-0.0.24-r0.apk | 66904 | 2024-Oct-25 19:08 |
ffms2-5.0-r0.apk | 66973 | 2024-Oct-25 19:07 |
xsoldier-1.8-r2.apk | 67021 | 2024-Oct-25 19:09 |
way-displays-1.8.1-r2.apk | 67108 | 2024-Oct-25 19:09 |
perl-regexp-grammars-1.058-r0.apk | 67147 | 2024-Oct-25 19:08 |
perl-gtk2-ex-widgetbits-48-r3.apk | 67275 | 2024-Oct-25 19:08 |
wiringx-dev-0_git20240317-r1.apk | 67407 | 2024-Oct-25 19:09 |
php81-opcache-8.1.31-r0.apk | 67456 | 2024-Nov-20 02:43 |
curtail-lang-1.11.1-r0.apk | 67458 | 2024-Oct-25 19:06 |
perl-net-async-redis-doc-6.006-r0.apk | 67473 | 2024-Dec-11 16:22 |
metadata-cleaner-lang-2.5.6-r0.apk | 67488 | 2025-Feb-01 17:06 |
perl-cairo-1.109-r4.apk | 67507 | 2024-Oct-25 19:08 |
libmygpo-qt-1.1.0-r2.apk | 67518 | 2024-Oct-25 19:07 |
swaks-20240103.0-r0.apk | 67659 | 2024-Oct-25 19:08 |
libiio-tools-0.25-r2.apk | 67694 | 2024-Oct-25 19:07 |
rosdep-0.19.0-r6.apk | 67721 | 2024-Oct-25 19:08 |
wlvncc-0.0.0_git20241130-r0.apk | 67847 | 2024-Dec-17 20:02 |
gnome-user-share-lang-47.0-r0.apk | 67848 | 2024-Oct-25 19:07 |
zutty-doc-0.16-r0.apk | 68161 | 2025-Jan-12 21:01 |
heisenbridge-1.14.6-r0.apk | 68170 | 2024-Oct-25 19:07 |
py3-bookkeeper-pyc-4.17.1-r0.apk | 68223 | 2024-Oct-25 19:08 |
py3-isbnlib-pyc-3.10.14-r0.apk | 68285 | 2025-Jan-25 07:04 |
gearman-libs-1.1.21-r1.apk | 68335 | 2024-Oct-25 19:07 |
libxo-dev-1.7.5-r0.apk | 68493 | 2025-Jan-12 22:45 |
elementary-calculator-8.0.0-r0.apk | 68693 | 2024-Oct-28 22:05 |
ocaml-jsonm-dev-1.0.2-r0.apk | 68823 | 2024-Oct-25 19:07 |
horizon-tools-0.9.6-r9.apk | 68844 | 2024-Oct-25 19:07 |
viewnior-1.8-r1.apk | 68914 | 2024-Oct-25 19:09 |
tuned-doc-2.24.1-r1.apk | 68973 | 2025-Jan-27 15:48 |
py3-arcus-5.3.0-r1.apk | 69082 | 2024-Oct-25 19:08 |
php81-doc-8.1.31-r0.apk | 69248 | 2024-Nov-20 02:43 |
py3-caldav-1.4.0-r0.apk | 69318 | 2024-Nov-09 19:07 |
py3-pysubs2-pyc-1.8.0-r0.apk | 69338 | 2024-Dec-25 22:09 |
ckb-next-daemon-0.6.0-r1.apk | 69380 | 2024-Oct-25 19:06 |
piper-phonemize-libs-2023.11.14.4-r5.apk | 69498 | 2024-Oct-25 19:08 |
py3-python-iptables-pyc-1.0.1-r1.apk | 69532 | 2024-Oct-25 19:08 |
perl-io-lambda-doc-1.34-r0.apk | 69576 | 2024-Oct-25 19:08 |
ding-libs-dev-0.6.2-r4.apk | 69579 | 2024-Oct-25 19:06 |
razercfg-0.42-r7.apk | 69589 | 2024-Oct-25 19:08 |
py3-blockdiag-3.0.0-r6.apk | 69655 | 2025-Jan-10 15:33 |
arc-cinnamon-20221218-r0.apk | 69668 | 2024-Oct-25 19:05 |
postgresql16-wal2json-2.6-r0.apk | 69686 | 2024-Oct-25 19:08 |
endeavour-doc-43.0-r2.apk | 69704 | 2024-Dec-08 21:36 |
py3-pystache-0.6.5-r1.apk | 69740 | 2024-Oct-25 19:08 |
py3-ncclient-0.6.13-r5.apk | 69853 | 2024-Oct-25 19:08 |
subliminal-2.2.1-r0.apk | 69956 | 2024-Oct-25 19:08 |
py3-zimscraperlib-pyc-3.4.0-r0.apk | 70012 | 2024-Nov-06 09:41 |
arc-dark-cinnamon-20221218-r0.apk | 70072 | 2024-Oct-25 19:05 |
ocaml-eqaf-0.8-r2.apk | 70087 | 2024-Oct-25 19:07 |
gamemode-1.8.2-r0.apk | 70238 | 2025-Feb-05 23:19 |
atlantik-lang-3.5.10_git20240323-r0.apk | 70259 | 2024-Oct-25 19:05 |
ocaml-mew-0.1.0-r3.apk | 70372 | 2024-Oct-25 19:07 |
py3-ovos-utils-0.5.4-r0.apk | 70482 | 2024-Nov-25 12:41 |
php81-openssl-8.1.31-r0.apk | 70515 | 2024-Nov-20 02:43 |
ledmon-1.0.0-r0.apk | 70544 | 2024-Oct-25 19:07 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 70579 | 2024-Oct-25 19:08 |
tree-sitter-caddy-0_git20230322-r0.apk | 70645 | 2024-Oct-25 19:08 |
mailutils-servers-3.18-r0.apk | 70675 | 2025-Jan-09 05:56 |
perl-net-amqp-rabbitmq-2.40012-r0.apk | 70702 | 2024-Oct-25 19:08 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 70851 | 2024-Oct-25 19:08 |
ocfs2-tools-doc-1.8.7-r4.apk | 71017 | 2024-Oct-25 19:08 |
debconf-1.5.82-r0.apk | 71068 | 2024-Oct-25 19:06 |
ccze-0.2.1-r1.apk | 71099 | 2024-Oct-25 19:06 |
py3-lsprotocol-2023.0.1-r1.apk | 71161 | 2024-Oct-25 19:08 |
opkg-libs-0.7.0-r0.apk | 71358 | 2024-Oct-25 19:08 |
elementary-music-8.0.0-r0.apk | 71491 | 2024-Oct-28 22:05 |
libnest2d-dev-0.4-r7.apk | 71546 | 2025-Feb-06 04:42 |
ginac-dev-1.8.7-r1.apk | 71577 | 2024-Oct-25 19:07 |
py3-hg-git-1.1.1-r1.apk | 71782 | 2024-Oct-25 19:08 |
lomiri-action-api-1.1.3-r1.apk | 71838 | 2024-Oct-25 19:07 |
smassh-pyc-3.1.6-r0.apk | 71919 | 2024-Nov-21 02:56 |
wlroots0.15-dev-0.15.1-r6.apk | 72109 | 2024-Oct-25 19:09 |
xdg-ninja-0.2.0.2-r0.apk | 72135 | 2024-Oct-25 19:09 |
wlroots0.16-dev-0.16.2-r0.apk | 72342 | 2024-Oct-28 13:47 |
boxes-2.3.1-r0.apk | 72417 | 2024-Oct-25 19:06 |
zapret-0.0.0_git20220125-r1.apk | 72542 | 2024-Oct-25 19:09 |
opendht-dev-3.1.11-r0.apk | 72587 | 2025-Jan-27 20:56 |
getmail6-6.19.07-r0.apk | 72683 | 2025-Jan-26 15:48 |
py3-irc-pyc-20.4.1-r0.apk | 72696 | 2024-Oct-25 19:08 |
hfst-doc-3.16.0-r2.apk | 72761 | 2024-Oct-25 19:07 |
errands-lang-46.2.7-r0.apk | 72851 | 2025-Feb-01 17:06 |
gupnp-av-0.14.3-r0.apk | 72965 | 2025-Jan-15 23:26 |
libucl-dev-0.9.0-r0.apk | 72994 | 2024-Oct-25 19:07 |
py3-limits-pyc-3.14.1-r0.apk | 73153 | 2024-Dec-25 18:58 |
libgivaro-4.2.0-r2.apk | 73159 | 2024-Oct-25 19:07 |
tmate-doc-2.4.0-r4.apk | 73444 | 2024-Oct-25 19:08 |
aide-0.18.8-r0.apk | 73670 | 2024-Oct-25 19:05 |
b2-tools-4.2.0-r0.apk | 73991 | 2025-Jan-12 13:27 |
py3-latex2mathml-3.77.0-r1.apk | 74000 | 2024-Oct-25 19:08 |
dnsperf-2.14.0-r0.apk | 74016 | 2024-Oct-25 19:06 |
py3-apio-0.9.5-r0.apk | 74094 | 2024-Oct-25 19:08 |
hypnotix-lang-3.5-r0.apk | 74118 | 2024-Oct-25 19:07 |
smassh-3.1.6-r0.apk | 74140 | 2024-Nov-21 02:56 |
perl-pango-1.227-r11.apk | 74217 | 2024-Oct-25 19:08 |
normaliz-dev-3.10.4-r0.apk | 74242 | 2024-Oct-30 13:43 |
linuxptp-ptp4l-4.4-r0.apk | 74411 | 2024-Nov-20 02:43 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 74616 | 2024-Oct-25 19:08 |
py3-hishel-pyc-0.0.33-r0.apk | 74762 | 2024-Oct-25 19:08 |
yaru-theme-hdpi-23.10.0-r1.apk | 74973 | 2024-Dec-01 02:28 |
py3-jsonschema417-4.17.3-r1.apk | 75078 | 2024-Oct-25 19:08 |
screenkey-pyc-1.5-r6.apk | 75117 | 2024-Oct-25 19:08 |
py3-tg-0.19.0-r5.apk | 75124 | 2024-Oct-25 19:08 |
muon-doc-0.3.1-r0.apk | 75128 | 2025-Feb-04 17:20 |
topgit-doc-0.19.13-r1.apk | 75189 | 2024-Oct-25 19:08 |
php81-mysqlnd-8.1.31-r0.apk | 75427 | 2024-Nov-20 02:43 |
libbraiding-1.3.1-r0.apk | 75433 | 2024-Nov-20 02:43 |
kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 75541 | 2024-Oct-25 19:07 |
py3-scour-pyc-0.38.2-r1.apk | 75541 | 2024-Oct-25 19:08 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 75591 | 2024-Oct-25 19:08 |
nullmailer-2.2-r4.apk | 75642 | 2024-Oct-25 19:07 |
py3-pyparted-3.13.0-r1.apk | 75748 | 2024-Oct-25 19:08 |
katarakt-0.2-r1.apk | 75930 | 2025-Jan-29 19:44 |
ocaml-domain-name-0.4.0-r2.apk | 75952 | 2024-Oct-25 19:07 |
vcdimager-doc-2.0.1-r5.apk | 76074 | 2025-Jan-25 07:04 |
lua5.1-libguestfs-1.52.0-r1.apk | 76320 | 2024-Oct-25 19:07 |
flowd-0.9.1-r10.apk | 76461 | 2024-Oct-25 19:07 |
ocaml-gmap-dev-0.3.0-r2.apk | 76492 | 2024-Oct-25 19:07 |
soundconverter-pyc-4.0.6-r0.apk | 76642 | 2024-Nov-12 15:33 |
libfishsound-doc-1.0.0-r1.apk | 77126 | 2024-Oct-25 19:07 |
perl-io-lambda-1.34-r0.apk | 77265 | 2024-Oct-25 19:08 |
highfive-2.10.1-r0.apk | 77294 | 2025-Jan-15 02:50 |
py3-markdown2-pyc-2.5.0-r0.apk | 77298 | 2024-Oct-25 19:08 |
dbus-broker-36-r0.apk | 77374 | 2024-Oct-25 19:06 |
py3-aesedb-pyc-0.1.6-r2.apk | 77521 | 2024-Oct-25 19:08 |
ocaml-lru-0.3.0-r2.apk | 77554 | 2024-Oct-25 19:07 |
ocaml-ptime-dev-1.0.0-r2.apk | 77577 | 2024-Oct-25 19:08 |
avarice-2.14-r4.apk | 77604 | 2024-Oct-25 19:05 |
libiscsi-utils-1.19.0-r2.apk | 77781 | 2024-Oct-25 19:07 |
nb-doc-7.15.1-r0.apk | 77982 | 2025-Feb-04 17:20 |
pimd-3.0_git20220201-r0.apk | 78089 | 2024-Oct-25 19:08 |
py3-minio-7.2.13-r0.apk | 78225 | 2024-Dec-25 18:58 |
libmustache-0.5.0-r1.apk | 78272 | 2024-Oct-25 19:07 |
py3-doit-0.36.0-r5.apk | 78339 | 2024-Oct-25 19:08 |
idevicerestore-1.0.0-r4.apk | 78446 | 2024-Oct-30 22:44 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 78563 | 2024-Oct-25 19:07 |
barman-doc-3.12.1-r0.apk | 78724 | 2024-Dec-31 16:20 |
cpplint-2.0.0-r0.apk | 78794 | 2024-Nov-21 21:34 |
screenkey-1.5-r6.apk | 78838 | 2024-Oct-25 19:08 |
monetdb-dev-11.33.11-r4.apk | 78951 | 2024-Oct-25 19:07 |
csfml-dev-2.5.2-r0.apk | 79032 | 2024-Oct-25 19:06 |
py3-apio-pyc-0.9.5-r0.apk | 79053 | 2024-Oct-25 19:08 |
openslide-3.4.1-r3.apk | 79212 | 2024-Oct-25 19:08 |
wlroots0.17-dev-0.17.4-r1.apk | 79228 | 2024-Nov-24 05:46 |
gammastep-lang-2.0.9-r3.apk | 79396 | 2024-Oct-25 19:07 |
xfce4-mixer-4.18.1-r2.apk | 79458 | 2024-Oct-25 19:09 |
ocaml-tsdl-image-dev-0.6-r0.apk | 79498 | 2024-Oct-25 19:08 |
ocaml-stringext-dev-1.6.0-r2.apk | 79626 | 2024-Oct-25 19:08 |
cln-doc-1.3.7-r0.apk | 79631 | 2024-Oct-25 19:06 |
py3-dt-schema-2024.11-r0.apk | 79738 | 2024-Nov-11 18:13 |
py3-nwdiag-pyc-3.0.0-r3.apk | 79746 | 2024-Oct-25 19:08 |
fplll-dev-5.5.0-r0.apk | 79838 | 2024-Nov-20 02:43 |
libstirshaken-dev-0_git20240208-r2.apk | 79847 | 2024-Oct-25 19:07 |
ytmdl-pyc-2024.08.15.1-r0.apk | 79948 | 2024-Oct-25 19:09 |
nmon-16q-r0.apk | 79972 | 2024-Oct-25 19:07 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 80049 | 2024-Oct-25 19:08 |
surfraw-2.3.0-r0.apk | 80598 | 2024-Oct-25 19:08 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 80622 | 2024-Oct-25 19:08 |
apache2-mod-perl-dbg-2.0.13-r1.apk | 80674 | 2024-Oct-25 19:05 |
libretro-pocketcdg-0_git20220327-r0.apk | 80733 | 2024-Oct-25 19:07 |
py3-youtube-search-1.6.6-r4.apk | 81007 | 2024-Oct-25 19:08 |
gupnp-1.6.8-r0.apk | 81015 | 2025-Jan-25 07:03 |
py3-shodan-pyc-1.31.0-r1.apk | 81050 | 2024-Oct-25 19:08 |
atlantik-doc-3.5.10_git20240323-r0.apk | 81188 | 2024-Oct-25 19:05 |
py3-asyauth-0.0.21-r0.apk | 81392 | 2024-Oct-25 19:08 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 81463 | 2024-Oct-25 19:08 |
reprotest-0.7.29-r0.apk | 82099 | 2024-Dec-23 04:07 |
irccd-doc-4.0.3-r0.apk | 82281 | 2024-Oct-25 19:07 |
vit-2.3.2-r1.apk | 82411 | 2024-Oct-25 19:09 |
lxappearance-lang-0.6.3-r3.apk | 82431 | 2024-Oct-25 19:07 |
znc-push-0_git20220823-r8.apk | 82536 | 2024-Oct-25 19:09 |
guish-2.6.11-r0.apk | 82550 | 2024-Dec-24 10:42 |
py3-tg-pyc-0.19.0-r5.apk | 82568 | 2024-Oct-25 19:08 |
systemd-efistub-257.2-r0.apk | 82761 | 2025-Feb-04 17:20 |
mimedefang-doc-3.5-r0.apk | 82779 | 2024-Oct-25 19:07 |
ctorrent-dnh-3.3.2-r2.apk | 82820 | 2024-Oct-25 19:06 |
perl-pango-doc-1.227-r11.apk | 82820 | 2024-Oct-25 19:08 |
bananui-dev-2.0.0-r0.apk | 83008 | 2024-Oct-25 19:05 |
sqliteodbc-0.99991-r0.apk | 83066 | 2024-Oct-25 19:08 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 83154 | 2024-Oct-25 19:08 |
tk9-dev-9.0.1-r0.apk | 83187 | 2024-Dec-22 06:12 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 83256 | 2024-Oct-25 19:08 |
py3-ovos-bus-client-pyc-1.0.4-r0.apk | 83398 | 2024-Nov-21 13:31 |
p0f-3.09b-r3.apk | 83684 | 2024-Oct-25 19:08 |
care-2.3.0-r1.apk | 84038 | 2024-Oct-25 19:06 |
py3-unearth-pyc-0.17.2-r0.apk | 84090 | 2024-Oct-25 19:08 |
getssl-2.48-r0.apk | 84140 | 2024-Oct-25 19:07 |
elementary-camera-8.0.0-r0.apk | 84194 | 2024-Oct-27 14:20 |
jdebp-redo-1.4-r1.apk | 84334 | 2024-Oct-25 19:07 |
tree-sitter-pascal-0.9.1-r0.apk | 84382 | 2024-Oct-25 19:08 |
createrepo_c-libs-1.1.4-r0.apk | 84519 | 2024-Oct-25 19:06 |
ocaml-ethernet-dev-3.0.0-r3.apk | 84721 | 2024-Oct-25 19:07 |
silc-client-doc-1.1.11-r17.apk | 84761 | 2024-Oct-25 19:08 |
tachyon-0.99_beta6-r1.apk | 84778 | 2024-Oct-25 19:08 |
php84-pecl-solr-2.8.0-r0.apk | 84857 | 2025-Jan-02 09:42 |
pantalaimon-pyc-0.10.5-r4.apk | 84905 | 2024-Oct-25 19:08 |
tree-sitter-ssh-client-config-2025.2.6-r0.apk | 84980 | 2025-Feb-06 17:53 |
libsemanage-3.6-r1.apk | 85010 | 2024-Oct-25 19:07 |
elementary-sound-theme-1.1.0-r0.apk | 85062 | 2024-Nov-10 22:07 |
duc-1.4.5-r0.apk | 85238 | 2024-Oct-25 19:07 |
thefuck-3.32-r5.apk | 85337 | 2024-Oct-25 19:08 |
youtube-viewer-3.11.1-r0.apk | 85457 | 2024-Oct-25 19:09 |
perl-net-idn-encode-2.500-r1.apk | 85571 | 2024-Oct-25 19:08 |
gtkhash-1.5-r0.apk | 85579 | 2024-Oct-25 19:07 |
py3-winacl-0.1.9-r0.apk | 85765 | 2024-Oct-25 19:08 |
lomiri-notifications-1.3.1-r0.apk | 85768 | 2025-Jan-10 10:07 |
monopd-0.10.4-r0.apk | 85827 | 2025-Jan-11 11:11 |
soqt-dev-1.6.3-r0.apk | 85888 | 2024-Dec-13 20:44 |
sydbox-doc-3.21.3-r0.apk | 85909 | 2024-Oct-25 19:08 |
py3-plexapi-doc-4.16.1-r0.apk | 86020 | 2025-Jan-12 17:48 |
ocaml-arp-3.0.0-r3.apk | 86034 | 2024-Oct-25 19:07 |
py3-gls-pyc-1.3.1-r1.apk | 86153 | 2024-Oct-25 19:08 |
libctl-4.5.1-r1.apk | 86223 | 2024-Oct-25 19:07 |
aptdec-1.8.0-r0.apk | 86356 | 2024-Oct-25 19:05 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 86378 | 2024-Oct-25 19:07 |
dsp-1.9-r2.apk | 86540 | 2024-Oct-25 19:07 |
firehol-3.1.7-r2.apk | 86789 | 2024-Oct-25 19:07 |
errands-46.2.7-r0.apk | 86840 | 2025-Feb-01 17:06 |
predict-2.3.1-r0.apk | 86868 | 2024-Nov-24 05:46 |
py3-marshmallow-pyc-3.26.0-r0.apk | 86948 | 2025-Jan-29 06:48 |
ocaml-logs-dev-0.7.0-r3.apk | 87137 | 2024-Oct-25 19:07 |
nbsdgames-5-r0.apk | 87176 | 2024-Oct-25 19:07 |
viewnior-lang-1.8-r1.apk | 87193 | 2024-Oct-25 19:09 |
kodi-inputstream-rtmp-20.3.0-r1.apk | 87215 | 2024-Oct-25 19:07 |
hy-1.0.0-r0.apk | 87348 | 2024-Oct-25 19:07 |
elementary-videos-lang-8.0.1-r0.apk | 87523 | 2024-Nov-10 22:06 |
lumina-desktop-sudo-1.6.2-r0.apk | 87563 | 2024-Oct-25 19:07 |
dodo-pyc-0_git20241007-r0.apk | 87589 | 2024-Oct-25 19:06 |
ccrtp-2.1.2-r0.apk | 87622 | 2024-Oct-25 19:06 |
neofetch-7.1.0-r2.apk | 87713 | 2024-Nov-07 05:39 |
py3-flask-dbconfig-0.3.12-r8.apk | 87742 | 2024-Oct-25 19:08 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 88104 | 2024-Oct-25 19:08 |
csfml-2.5.2-r0.apk | 88222 | 2024-Oct-25 19:06 |
arc-dark-gtk4-20221218-r0.apk | 88309 | 2024-Oct-25 19:05 |
vim-airline-0.11-r0.apk | 88456 | 2024-Oct-25 19:09 |
kodi-vfs-sacd-20.1.0-r1.apk | 88474 | 2024-Oct-25 19:07 |
bananui-shell-0.2.0-r0.apk | 89045 | 2024-Oct-25 19:05 |
py3-asysocks-0.2.13-r0.apk | 89057 | 2024-Oct-25 19:08 |
py3-ovos-workshop-3.1.1-r0.apk | 89161 | 2024-Nov-25 12:41 |
gammastep-2.0.9-r3.apk | 89321 | 2024-Oct-25 19:07 |
ocaml-iso8601-dev-0.2.6-r0.apk | 89550 | 2024-Oct-25 19:07 |
lfm-3.1-r4.apk | 90238 | 2024-Oct-25 19:07 |
gpsbabel-lang-1.9.0-r0.apk | 90348 | 2024-Oct-25 19:07 |
perl-libapreq2-2.17-r2.apk | 90358 | 2024-Oct-25 19:08 |
ruby-build-20241225.2-r0.apk | 90391 | 2025-Jan-09 06:30 |
qdjango-0.6.2-r1.apk | 90410 | 2024-Oct-25 19:08 |
libfoma-0.10.0_git20240712-r0.apk | 90687 | 2024-Oct-25 19:07 |
wayqt-0.2.0-r0.apk | 90721 | 2024-Oct-25 19:09 |
lua-lut-1.2.1-r0.apk | 90803 | 2024-Oct-25 19:07 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 90991 | 2024-Oct-25 19:07 |
u1db-qt-0.1.8-r0.apk | 91260 | 2024-Oct-25 19:09 |
py3-fpdf-pyc-1.7.2-r5.apk | 91319 | 2024-Oct-25 19:08 |
berry-lang-1.1.0-r0.apk | 91338 | 2024-Oct-25 19:05 |
fusesoc-pyc-2.3-r0.apk | 91402 | 2024-Oct-25 19:07 |
ocaml-base64-3.5.0-r2.apk | 91435 | 2024-Oct-25 19:07 |
ocaml-sha-1.15.4-r0.apk | 91565 | 2024-Oct-25 19:08 |
sblim-wbemcli-1.6.3-r1.apk | 91945 | 2024-Oct-25 19:08 |
py3-pypubsub-pyc-4.0.3-r0.apk | 92067 | 2024-Oct-25 19:08 |
mpdcron-0.3-r1.apk | 92189 | 2024-Oct-25 19:07 |
anarch-1.0-r1.apk | 92348 | 2024-Oct-25 19:05 |
wf-config-0.8.0-r1.apk | 92442 | 2024-Oct-25 19:09 |
py3-caldav-pyc-1.4.0-r0.apk | 92584 | 2024-Nov-09 19:07 |
perl-soap-lite-doc-1.27-r5.apk | 92619 | 2024-Oct-25 19:08 |
py3-dogpile.cache-pyc-1.3.3-r0.apk | 92621 | 2024-Oct-25 19:08 |
libigraph-dev-0.10.15-r0.apk | 92633 | 2024-Nov-20 02:43 |
libabigail-tools-2.3-r0.apk | 92670 | 2024-Oct-25 19:07 |
libgivaro-static-4.2.0-r2.apk | 92702 | 2024-Oct-25 19:07 |
pigpio-dev-79-r4.apk | 92976 | 2024-Oct-25 19:08 |
soundfont-vintage-dreams-waves-2.1-r2.apk | 92984 | 2024-Oct-25 19:08 |
video-trimmer-lang-0.9.0-r0.apk | 93044 | 2024-Oct-25 19:09 |
tree-sitter-dart-0_git20230123-r1.apk | 93171 | 2024-Oct-25 19:08 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 93182 | 2024-Oct-25 19:07 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 93234 | 2024-Oct-25 19:08 |
kodi-audioencoder-lame-20.3.0-r1.apk | 93239 | 2024-Oct-25 19:07 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 93269 | 2024-Oct-25 19:07 |
xandikos-0.2.12-r1.apk | 93429 | 2024-Nov-25 05:20 |
py3-rosdistro-pyc-0.9.0-r3.apk | 93452 | 2024-Oct-25 19:08 |
nm-tray-0.5.0-r0.apk | 93479 | 2024-Oct-25 19:07 |
emacs-elfeed-3.4.1_git20240326-r0.apk | 93498 | 2024-Oct-25 19:07 |
libsbsms-2.3.0-r0.apk | 93534 | 2024-Oct-25 19:07 |
ustr-dev-1.0.4-r1.apk | 93646 | 2024-Oct-25 19:09 |
py3-mistletoe-pyc-1.2.1-r2.apk | 93766 | 2024-Oct-25 19:08 |
py3-pyatem-pyc-0.5.0-r4.apk | 93816 | 2024-Oct-25 19:08 |
moe-1.14-r0.apk | 93989 | 2024-Oct-25 19:07 |
py3-ovos-plugin-manager-0.6.0-r0.apk | 94017 | 2024-Nov-21 13:31 |
armagetronad-doc-0.2.9.1.1-r0.apk | 94146 | 2024-Oct-25 19:05 |
ocaml-uuseg-14.0.0-r2.apk | 94294 | 2024-Oct-25 19:08 |
ocaml-ssl-0.7.0-r0.apk | 94924 | 2024-Oct-25 19:08 |
py3-pigpio-79-r4.apk | 95380 | 2024-Oct-25 19:08 |
ttfautohint-libs-1.8.4-r0.apk | 95381 | 2024-Oct-25 19:09 |
libmdbx-dev-0.11.8-r0.apk | 95429 | 2024-Oct-25 19:07 |
freediameter-libfdproto-1.5.0-r1.apk | 95504 | 2024-Oct-25 19:07 |
arc-dark-gtk3-20221218-r0.apk | 95513 | 2024-Oct-25 19:05 |
gst-rtsp-server-dev-1.24.11-r0.apk | 95691 | 2025-Jan-25 16:46 |
bliss-dev-0.77-r1.apk | 96076 | 2024-Oct-25 19:05 |
ocaml-ptmap-dev-2.0.5-r3.apk | 96280 | 2024-Oct-25 19:08 |
py3-unicrypto-pyc-0.0.10-r2.apk | 96339 | 2024-Oct-25 19:08 |
py3-requests-cache-pyc-1.2.1-r1.apk | 96468 | 2024-Nov-20 02:43 |
icmake-9.03.01-r0.apk | 96539 | 2024-Oct-25 19:07 |
ocaml-num-dev-1.4-r3.apk | 96600 | 2024-Oct-25 19:07 |
py3-scs-3.2.3-r4.apk | 96627 | 2024-Oct-25 19:08 |
libblastrampoline-dev-5.2.0-r0.apk | 96642 | 2024-Oct-25 19:07 |
py3-qbittorrent-api-pyc-2024.12.71-r0.apk | 96712 | 2024-Dec-23 21:41 |
py3-moviepy-1.0.3-r6.apk | 96761 | 2024-Oct-25 19:08 |
py3-xlwt-1.3.0-r9.apk | 96872 | 2024-Oct-25 19:08 |
castero-pyc-0.9.5-r3.apk | 97209 | 2024-Oct-25 19:06 |
py3-mnemonic-0.21-r0.apk | 97324 | 2024-Oct-25 19:08 |
proot-static-5.4.0-r1.apk | 97376 | 2024-Oct-25 19:08 |
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 97384 | 2024-Nov-21 13:31 |
onnxruntime-dev-1.19.2-r3.apk | 97436 | 2024-Dec-25 19:50 |
py3-soappy-pyc-0.52.30-r0.apk | 97562 | 2024-Dec-02 22:54 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 97764 | 2024-Oct-25 19:08 |
py3-cdio-2.1.1-r6.apk | 98049 | 2025-Jan-25 07:04 |
ocaml-mew-dev-0.1.0-r3.apk | 98153 | 2024-Oct-25 19:07 |
rmlint-shredder-2.10.2-r2.apk | 98264 | 2024-Oct-25 19:08 |
cpplint-pyc-2.0.0-r0.apk | 98480 | 2024-Nov-21 21:34 |
py3-youtube-search-pyc-1.6.6-r4.apk | 98491 | 2024-Oct-25 19:08 |
ssdfs-tools-4.09-r0.apk | 98611 | 2024-Oct-25 19:08 |
lomiri-ui-toolkit-lang-1.3.5110-r0.apk | 98693 | 2025-Jan-10 10:07 |
ustr-doc-1.0.4-r1.apk | 98894 | 2024-Oct-25 19:09 |
py3-pystache-pyc-0.6.5-r1.apk | 98988 | 2024-Oct-25 19:08 |
ocaml-psq-0.2.0-r2.apk | 99225 | 2024-Oct-25 19:08 |
interception-tools-0.6.8-r2.apk | 99465 | 2024-Oct-25 19:07 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 99608 | 2024-Oct-25 19:07 |
libmhash-0.9.9.9-r3.apk | 99630 | 2024-Oct-25 19:07 |
py3-drf-yasg-pyc-1.21.7-r2.apk | 99684 | 2024-Oct-25 19:08 |
android-file-transfer-cli-4.3-r1.apk | 99774 | 2025-Jan-26 19:29 |
py3-chameleon-4.5.4-r0.apk | 99825 | 2024-Oct-25 19:08 |
py3-avro-1.11.3-r1.apk | 100016 | 2024-Oct-25 19:08 |
py3-transitions-0.9.2-r0.apk | 100076 | 2024-Oct-25 19:08 |
lomiri-settings-components-lang-1.1.2-r0.apk | 100150 | 2025-Jan-10 10:07 |
ginac-doc-1.8.7-r1.apk | 100317 | 2024-Oct-25 19:07 |
azote-pyc-1.14.0-r0.apk | 100358 | 2024-Dec-14 20:38 |
htmldoc-doc-1.9.20-r0.apk | 100368 | 2024-Dec-10 15:23 |
py3-qpageview-0.6.2-r1.apk | 100402 | 2024-Oct-25 19:08 |
belr-5.3.83-r0.apk | 100437 | 2024-Oct-25 19:05 |
hpnssh-doc-18.4.1-r0.apk | 100594 | 2024-Oct-25 19:07 |
k2-0_git20220807-r1.apk | 100623 | 2024-Oct-25 19:07 |
zapret-doc-0.0.0_git20220125-r1.apk | 100823 | 2024-Oct-25 19:09 |
bake-2.5.1-r0.apk | 100857 | 2024-Oct-25 19:05 |
fungw-fawk-1.2.1-r0.apk | 100900 | 2024-Dec-30 09:48 |
perl-sys-virt-doc-10.6.0-r0.apk | 101254 | 2024-Oct-25 19:08 |
opkg-dev-0.7.0-r0.apk | 101757 | 2024-Oct-25 19:08 |
burp-doc-3.1.4-r0.apk | 101798 | 2024-Oct-25 19:06 |
py3-pyinstrument-pyc-5.0.1-r0.apk | 101904 | 2025-Jan-25 07:04 |
dooit-pyc-3.1.0-r0.apk | 102061 | 2024-Dec-07 20:22 |
ocaml-xmlm-dev-1.4.0-r2.apk | 102076 | 2024-Oct-25 19:08 |
php81-pecl-imagick-3.7.0-r5.apk | 102352 | 2024-Oct-25 19:08 |
openmg-0.0.9-r0.apk | 102352 | 2024-Oct-25 19:08 |
caffeine-ng-4.2.0-r1.apk | 102811 | 2024-Oct-25 19:06 |
sshuttle-pyc-1.1.2-r0.apk | 103114 | 2024-Oct-25 19:08 |
zile-2.6.2-r1.apk | 103136 | 2024-Oct-25 19:09 |
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk | 103237 | 2024-Oct-30 05:03 |
hdf4-dev-4.2.15-r2.apk | 103263 | 2024-Oct-25 19:07 |
catfish-pyc-4.20.0-r0.apk | 103528 | 2024-Dec-27 19:25 |
libvisio2svg-utils-0.5.5-r3.apk | 103653 | 2024-Oct-25 19:07 |
libofx-tools-0.10.9-r1.apk | 103698 | 2024-Oct-25 19:07 |
urlwatch-pyc-2.28-r2.apk | 103814 | 2024-Oct-25 19:09 |
singular-emacs-4.4.1-r0.apk | 103816 | 2025-Feb-06 04:42 |
ruby-libguestfs-1.52.0-r1.apk | 103879 | 2024-Oct-25 19:08 |
php82-pecl-teds-1.3.0-r0.apk | 103901 | 2024-Oct-25 19:08 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 104061 | 2024-Oct-25 19:08 |
py3-libcec-rpi-6.0.2-r4.apk | 104165 | 2024-Oct-25 19:08 |
openscap-daemon-pyc-0.1.10-r9.apk | 104321 | 2024-Oct-25 19:08 |
nvim-plenary-0.1.4_git20240917-r0.apk | 104450 | 2024-Nov-11 04:07 |
elementary-videos-8.0.1-r0.apk | 104847 | 2024-Nov-10 22:06 |
kodi-vfs-libarchive-20.3.0-r1.apk | 104886 | 2024-Oct-25 19:07 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 105098 | 2024-Oct-25 19:08 |
mir-demos-2.15.0-r4.apk | 105252 | 2024-Oct-25 19:07 |
nymphcast-mediaserver-0.1-r3.apk | 105358 | 2024-Oct-25 19:07 |
reprotest-pyc-0.7.29-r0.apk | 105391 | 2024-Dec-23 04:07 |
py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 105445 | 2024-Oct-25 19:08 |
cluster-glue-libs-1.0.12-r5.apk | 105463 | 2024-Oct-25 19:06 |
ocaml-fpath-dev-0.7.3-r2.apk | 105690 | 2024-Oct-25 19:07 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 105989 | 2024-Oct-25 19:08 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 106007 | 2024-Oct-25 19:07 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 106056 | 2024-Oct-25 19:08 |
ocaml-charinfo_width-1.1.0-r3.apk | 106458 | 2024-Oct-25 19:07 |
pithos-1.6.1-r0.apk | 106963 | 2024-Oct-25 19:08 |
xosview-1.24-r0.apk | 107048 | 2024-Oct-25 19:09 |
lkrg-0.9.6-r1.apk | 107244 | 2025-Jan-09 11:44 |
getmail6-pyc-6.19.07-r0.apk | 107328 | 2025-Jan-26 15:48 |
primesieve-libs-12.6-r0.apk | 107329 | 2024-Dec-14 18:13 |
gnome-latex-doc-3.47.0-r2.apk | 107555 | 2024-Dec-12 19:26 |
policycoreutils-lang-3.6-r1.apk | 107918 | 2024-Oct-25 19:08 |
pari-dev-2.17.1-r0.apk | 108136 | 2024-Dec-24 17:12 |
catdoc-0.95-r1.apk | 108147 | 2024-Oct-25 19:06 |
fceux-doc-2.6.6-r2.apk | 108370 | 2024-Oct-25 19:07 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 108527 | 2024-Oct-25 19:08 |
otpclient-4.0.2-r0.apk | 108974 | 2024-Oct-25 19:08 |
py3-ncclient-pyc-0.6.13-r5.apk | 109076 | 2024-Oct-25 19:08 |
libretro-xrick-0_git20220331-r0.apk | 109335 | 2024-Oct-25 19:07 |
py3-hg-git-pyc-1.1.1-r1.apk | 109359 | 2024-Oct-25 19:08 |
crispy-doom-doc-7.0-r0.apk | 109392 | 2024-Oct-25 19:06 |
soundconverter-lang-4.0.6-r0.apk | 109397 | 2024-Nov-12 15:33 |
atomicparsley-20240608-r0.apk | 109468 | 2024-Oct-25 19:05 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 109687 | 2024-Oct-25 19:08 |
roswell-24.10.115-r0.apk | 109690 | 2024-Oct-25 19:08 |
lomiri-telephony-service-lang-0.6.0-r0.apk | 109863 | 2025-Jan-26 01:34 |
otrs-setup-6.0.48-r2.apk | 109917 | 2024-Oct-25 19:08 |
py3-pyinstrument-5.0.1-r0.apk | 110099 | 2025-Jan-25 07:04 |
h4h5tools-static-2.2.5-r4.apk | 110150 | 2024-Oct-25 19:07 |
libvoikko-4.3.2-r1.apk | 110264 | 2024-Oct-25 19:07 |
rizin-cutter-dev-2.3.2-r2.apk | 110500 | 2024-Oct-25 19:08 |
elf_diff-pyc-0.7.1-r3.apk | 110557 | 2024-Oct-25 19:07 |
elf_diff-0.7.1-r3.apk | 110876 | 2024-Oct-25 19:07 |
libretro-dinothawr-0_git20220401-r0.apk | 111114 | 2024-Oct-25 19:07 |
detox-2.0.0-r0.apk | 111122 | 2024-Oct-25 19:06 |
kodi-game-libretro-20.1.0-r0.apk | 111125 | 2024-Oct-25 19:07 |
lomiri-gallery-app-lang-3.0.2-r0.apk | 111130 | 2024-Oct-25 19:07 |
gcli-2.6.1-r0.apk | 111270 | 2025-Jan-26 17:28 |
py3-dnslib-pyc-0.9.25-r0.apk | 111468 | 2024-Oct-25 19:08 |
sbase-0_git20210730-r3.apk | 111583 | 2024-Oct-25 19:08 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 111706 | 2024-Oct-25 19:08 |
mediastreamer2-doc-5.3.41-r0.apk | 111895 | 2024-Oct-25 19:07 |
libnih-1.0.3-r7.apk | 112054 | 2024-Oct-25 19:07 |
emacs-embark-1.0_git20240327-r0.apk | 112327 | 2024-Oct-25 19:07 |
py3-langcodes-pyc-3.3.0-r2.apk | 112363 | 2024-Oct-25 19:08 |
libmhash-dev-0.9.9.9-r3.apk | 112408 | 2024-Oct-25 19:07 |
font-cousine-0_git20210228-r0.apk | 112599 | 2024-Oct-25 19:07 |
hypnotix-3.5-r0.apk | 112677 | 2024-Oct-25 19:07 |
ocaml-mirage-flow-3.0.0-r3.apk | 112694 | 2024-Oct-25 19:07 |
arc-darker-gtk4-20221218-r0.apk | 112799 | 2024-Oct-25 19:05 |
perl-soap-lite-1.27-r5.apk | 112846 | 2024-Oct-25 19:08 |
granite7-7.5.0-r0.apk | 112895 | 2024-Oct-25 19:07 |
dotnet-doc-6.0.136-r1.apk | 113156 | 2024-Nov-25 05:19 |
xtl-0.7.7-r0.apk | 113193 | 2024-Oct-25 19:09 |
liboggz-1.1.1-r2.apk | 113243 | 2024-Oct-25 19:07 |
sciteco-doc-2.3.0-r0.apk | 113299 | 2024-Dec-29 08:41 |
py3-wstools-pyc-0.4.10-r7.apk | 113408 | 2024-Oct-25 19:08 |
barnyard2-2.1.14_git20160413-r1.apk | 113430 | 2024-Oct-25 19:05 |
menumaker-0.99.14-r1.apk | 113686 | 2024-Oct-25 19:07 |
libsimplebluez-0.6.1-r2.apk | 113805 | 2024-Dec-14 19:23 |
py3-poppler-qt5-21.3.0-r2.apk | 113935 | 2025-Jan-29 19:44 |
maxima-emacs-5.47.0-r8.apk | 114071 | 2024-Oct-25 19:07 |
compiz-pyc-0.9.14.2-r7.apk | 114131 | 2024-Nov-22 18:51 |
ocaml-easy-format-dev-1.3.4-r1.apk | 114150 | 2024-Oct-25 19:07 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 114192 | 2024-Oct-25 19:08 |
libnih-dev-1.0.3-r7.apk | 114510 | 2024-Oct-25 19:07 |
primecount-libs-7.14-r0.apk | 114520 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 114796 | 2024-Oct-25 19:08 |
h4h5tools-2.2.5-r4.apk | 115140 | 2024-Oct-25 19:07 |
mediastreamer2-dev-5.3.41-r0.apk | 115148 | 2024-Oct-25 19:07 |
ocaml-ptime-1.0.0-r2.apk | 115199 | 2024-Oct-25 19:08 |
pyradio-doc-0.9.3.11-r0.apk | 115357 | 2024-Oct-25 19:08 |
arc-lighter-gtk4-20221218-r0.apk | 115526 | 2024-Oct-25 19:05 |
vcdimager-dev-2.0.1-r5.apk | 115565 | 2025-Jan-25 07:04 |
lumina-desktop-photo-1.6.2-r0.apk | 115657 | 2024-Oct-25 19:07 |
sqawk-doc-0.24.0-r0.apk | 115864 | 2024-Oct-25 19:08 |
qml-box2d-0_git20180406-r0.apk | 115877 | 2024-Oct-25 19:08 |
ocaml-camlzip-1.11-r2.apk | 116141 | 2024-Oct-25 19:07 |
x11docker-7.6.0-r1.apk | 116151 | 2024-Oct-25 19:09 |
arc-gtk4-20221218-r0.apk | 116245 | 2024-Oct-25 19:05 |
ocaml-logs-0.7.0-r3.apk | 116764 | 2024-Oct-25 19:07 |
android-file-transfer-libs-4.3-r1.apk | 116801 | 2025-Jan-26 19:29 |
vixl-dev-7.0.0-r0.apk | 117363 | 2024-Oct-25 19:09 |
fox-adie-1.6.57-r0.apk | 117565 | 2024-Oct-25 19:07 |
py3-flask-restaction-0.25.3-r8.apk | 117604 | 2024-Oct-25 19:08 |
shellinabox-2.21-r3.apk | 117711 | 2024-Oct-25 19:08 |
htslib-dev-1.19-r0.apk | 118052 | 2024-Oct-25 19:07 |
pigpio-doc-79-r4.apk | 118118 | 2024-Oct-25 19:08 |
guetzli-0_git20191025-r1.apk | 118245 | 2024-Oct-25 19:07 |
lomiri-docviewer-app-lang-3.0.4-r1.apk | 118662 | 2025-Jan-29 19:44 |
hamster-time-tracker-doc-3.0.3-r2.apk | 118988 | 2024-Oct-25 19:07 |
php81-gd-8.1.31-r0.apk | 118988 | 2024-Nov-20 02:43 |
php81-phar-8.1.31-r0.apk | 119764 | 2024-Nov-20 02:43 |
compiz-dev-0.9.14.2-r7.apk | 119958 | 2024-Nov-22 18:51 |
perl-git-raw-doc-0.90-r2.apk | 119980 | 2024-Nov-22 18:51 |
hw-probe-1.6.5-r2.apk | 120017 | 2024-Oct-25 19:07 |
hidrd-dev-0.2.0_git20190603-r1.apk | 120416 | 2024-Oct-25 19:07 |
py3-spnego-0.11.2-r0.apk | 120741 | 2025-Jan-16 07:51 |
uucp-doc-1.07-r6.apk | 120932 | 2024-Oct-25 19:09 |
font-firamath-0.3.4-r0.apk | 121165 | 2024-Oct-25 19:07 |
faust-tools-2.60.3-r2.apk | 121171 | 2024-Oct-25 19:07 |
wok-pyc-3.0.0-r6.apk | 121524 | 2024-Oct-25 19:09 |
yosys-dev-0.42-r0.apk | 121540 | 2024-Oct-25 19:09 |
ocaml-jsonm-1.0.2-r0.apk | 121565 | 2024-Oct-25 19:07 |
rosdep-pyc-0.19.0-r6.apk | 121849 | 2024-Oct-25 19:08 |
boinc-screensaver-7.24.3-r0.apk | 122011 | 2024-Oct-25 19:06 |
libm4ri-20240729-r2.apk | 122503 | 2025-Jan-15 18:06 |
ocaml-lwt_react-5.7.0-r0.apk | 122526 | 2024-Oct-25 19:07 |
py3-cchardet-2.1.7-r5.apk | 122663 | 2024-Oct-25 19:08 |
wolfssh-1.4.17-r0.apk | 122796 | 2024-Oct-25 19:09 |
ocaml-eqaf-dev-0.8-r2.apk | 122825 | 2024-Oct-25 19:07 |
ecm-7.0.5-r1.apk | 124030 | 2024-Oct-25 19:07 |
piper-tts-2023.11.14.2-r9.apk | 124252 | 2024-Dec-18 12:24 |
libsbsms-dev-2.3.0-r0.apk | 124961 | 2024-Oct-25 19:07 |
tpm2-pkcs11-1.9.1-r0.apk | 125403 | 2024-Oct-25 19:08 |
py3-edalize-0.5.4-r0.apk | 125543 | 2024-Oct-25 19:08 |
py3-m2crypto-pyc-0.41.0-r2.apk | 125547 | 2024-Oct-25 19:08 |
steghide-0.5.1.1-r0.apk | 125848 | 2024-Oct-25 19:08 |
osmctools-0.9-r0.apk | 126282 | 2024-Oct-25 19:08 |
bionic_translation-dbg-0_git20240525-r0.apk | 126541 | 2024-Oct-25 19:05 |
arc-darker-gtk3-20221218-r0.apk | 126715 | 2024-Oct-25 19:05 |
neard-0.19-r0.apk | 126806 | 2024-Oct-25 19:07 |
py3-ovos-utils-pyc-0.5.4-r0.apk | 126874 | 2024-Nov-25 12:41 |
yodl-4.02.00-r1.apk | 126954 | 2024-Oct-25 19:09 |
remake-1.5-r1.apk | 127371 | 2024-Oct-25 19:08 |
rmlint-shredder-pyc-2.10.2-r2.apk | 127484 | 2024-Oct-25 19:08 |
arc-lighter-gtk3-20221218-r0.apk | 127568 | 2024-Oct-25 19:05 |
drogon-dev-1.9.4-r1.apk | 127593 | 2024-Oct-25 19:07 |
py3-kazoo-0_git20211202-r4.apk | 128028 | 2024-Oct-25 19:08 |
php81-pecl-protobuf-4.29.3-r0.apk | 128142 | 2025-Jan-09 22:14 |
py3-jsonschema417-pyc-4.17.3-r1.apk | 128250 | 2024-Oct-25 19:08 |
py3-marisa-trie-1.2.1-r0.apk | 128331 | 2024-Nov-11 13:12 |
ginger-lang-2.4.0-r7.apk | 128456 | 2024-Oct-25 19:07 |
py3-dbus-fast-pyc-2.24.4-r0.apk | 128656 | 2024-Nov-20 02:43 |
ustr-static-1.0.4-r1.apk | 128779 | 2024-Oct-25 19:09 |
arc-gtk3-20221218-r0.apk | 128897 | 2024-Oct-25 19:05 |
libbamf-0.5.6-r1.apk | 129288 | 2024-Oct-25 19:07 |
catfish-4.20.0-r0.apk | 129325 | 2024-Dec-27 19:25 |
ocaml-fmt-dev-0.9.0-r2.apk | 129412 | 2024-Oct-25 19:07 |
venc-pyc-3.2.5-r0.apk | 129652 | 2025-Feb-04 21:47 |
topgit-0.19.13-r1.apk | 129699 | 2024-Oct-25 19:08 |
icmake-doc-9.03.01-r0.apk | 130440 | 2024-Oct-25 19:07 |
cdist-pyc-7.0.0-r6.apk | 130638 | 2024-Oct-25 19:06 |
php81-soap-8.1.31-r0.apk | 130640 | 2024-Nov-20 02:43 |
py3-piper-phonemize-2023.11.14.4-r5.apk | 130641 | 2024-Oct-25 19:08 |
libm4ri-static-20240729-r2.apk | 130741 | 2025-Jan-15 18:06 |
ocaml-integers-0.7.0-r2.apk | 131043 | 2024-Oct-25 19:07 |
tcc-libs-0.9.27_git20250106-r0.apk | 131314 | 2025-Feb-04 17:20 |
zfsbootmenu-2.3.0-r1.apk | 131322 | 2024-Oct-25 19:09 |
py3-github3-4.0.1-r1.apk | 131343 | 2024-Oct-25 19:08 |
py3-minikerberos-0.4.4-r1.apk | 131733 | 2024-Oct-25 19:08 |
libsemanage-dev-3.6-r1.apk | 131865 | 2024-Oct-25 19:07 |
py3-transitions-pyc-0.9.2-r0.apk | 131885 | 2024-Oct-25 19:08 |
openttd-openmsx-0.4.2-r0.apk | 132206 | 2024-Oct-25 19:08 |
modem-manager-gui-lang-0.0.20-r0.apk | 132370 | 2024-Oct-25 19:07 |
lizardfs-3.13.0-r14.apk | 132373 | 2024-Dec-03 14:30 |
wayfire-dev-0.8.1-r1.apk | 132390 | 2024-Oct-25 19:09 |
dotnet6-hostfxr-6.0.36-r1.apk | 132652 | 2024-Nov-25 05:20 |
tree-sitter-markdown-0.3.2-r0.apk | 132752 | 2024-Oct-25 19:08 |
transmission-remote-gtk-1.6.0-r0.apk | 132755 | 2024-Oct-25 19:08 |
mpop-lang-1.4.21-r0.apk | 133233 | 2025-Jan-14 05:15 |
tinygltf-2.9.4-r0.apk | 133283 | 2025-Jan-25 07:04 |
py3-minidump-pyc-0.0.24-r0.apk | 133455 | 2024-Oct-25 19:08 |
w_scan2-1.0.15-r0.apk | 133456 | 2024-Oct-25 19:09 |
libemf2svg-1.1.0-r2.apk | 133940 | 2024-Oct-25 19:07 |
libretro-mu-0_git20220317-r0.apk | 134033 | 2024-Oct-25 19:07 |
bdfr-2.6.2-r1.apk | 134075 | 2024-Oct-25 19:05 |
py3-chameleon-pyc-4.5.4-r0.apk | 134193 | 2024-Oct-25 19:08 |
smplxmpp-0.9.3-r4.apk | 134714 | 2024-Dec-18 12:24 |
cgiirc-0.5.12-r1.apk | 134965 | 2024-Oct-25 19:06 |
py3-winacl-pyc-0.1.9-r0.apk | 135195 | 2024-Oct-25 19:08 |
ocaml-lwt_log-1.1.1-r5.apk | 135508 | 2024-Oct-25 19:07 |
debconf-lang-1.5.82-r0.apk | 135604 | 2024-Oct-25 19:06 |
php81-pecl-xdebug-3.4.1-r0.apk | 135753 | 2025-Jan-07 04:43 |
php81-intl-8.1.31-r0.apk | 135972 | 2024-Nov-20 02:43 |
sdparm-1.12-r1.apk | 136271 | 2024-Oct-25 19:08 |
py3-doit-pyc-0.36.0-r5.apk | 136449 | 2024-Oct-25 19:08 |
motion-4.7.0-r0.apk | 136563 | 2024-Oct-25 19:07 |
lfm-pyc-3.1-r4.apk | 136927 | 2024-Oct-25 19:07 |
ocaml-curses-1.0.10-r2.apk | 137110 | 2024-Oct-25 19:07 |
rmlint-2.10.2-r2.apk | 137448 | 2024-Oct-25 19:08 |
liboggz-doc-1.1.1-r2.apk | 137452 | 2024-Oct-25 19:07 |
perl-mce-1.901-r0.apk | 137553 | 2025-Jan-03 04:21 |
subliminal-pyc-2.2.1-r0.apk | 137849 | 2024-Oct-25 19:08 |
mapnik-doc-3.1.0-r29.apk | 138080 | 2024-Nov-08 00:05 |
bootchart2-0.14.9-r0.apk | 138394 | 2025-Jan-03 10:13 |
log4cxx-dev-1.1.0-r2.apk | 138445 | 2024-Dec-14 19:23 |
b2-tools-pyc-4.2.0-r0.apk | 138699 | 2025-Jan-12 13:27 |
gatling-0.16-r6.apk | 138773 | 2024-Oct-25 19:07 |
ovos-audio-0.3.1-r0.apk | 138810 | 2024-Nov-21 13:31 |
libandroidfw-0_git20250115-r0.apk | 139819 | 2025-Jan-16 09:05 |
getmail6-doc-6.19.07-r0.apk | 140777 | 2025-Jan-26 15:48 |
pnmixer-0.7.2-r3.apk | 140965 | 2024-Oct-25 19:08 |
emacs-consult-1.4_git20240405-r0.apk | 141066 | 2024-Oct-25 19:07 |
ocaml-fpath-0.7.3-r2.apk | 141926 | 2024-Oct-25 19:07 |
py3-msldap-0.5.12-r0.apk | 142438 | 2024-Oct-25 19:08 |
py3-cmd2-2.4.3-r2.apk | 142764 | 2024-Oct-25 19:08 |
bochs-doc-2.8-r0.apk | 142825 | 2024-Oct-25 19:05 |
rauc-1.10.1-r0.apk | 142963 | 2024-Oct-25 19:08 |
motion-doc-4.7.0-r0.apk | 143248 | 2024-Oct-25 19:07 |
libretro-frodo-0_git20221221-r0.apk | 143410 | 2024-Oct-25 19:07 |
brltty-dev-6.7-r0.apk | 143424 | 2024-Oct-25 19:06 |
zutty-0.16-r0.apk | 143477 | 2025-Jan-12 21:01 |
pidif-0.1-r1.apk | 144025 | 2024-Oct-25 19:08 |
ttfautohint-dev-1.8.4-r0.apk | 144084 | 2024-Oct-25 19:09 |
piper-tts-dev-2023.11.14.2-r9.apk | 144343 | 2024-Dec-18 12:24 |
libtins-dev-4.5-r1.apk | 144710 | 2024-Oct-25 19:07 |
ocaml-domain-name-dev-0.4.0-r2.apk | 144836 | 2024-Oct-25 19:07 |
libqd-2.3.24-r0.apk | 144985 | 2024-Oct-25 19:07 |
ace-of-penguins-1.4-r3.apk | 145070 | 2024-Oct-25 19:05 |
lomiri-libusermetrics-1.3.3-r0.apk | 145297 | 2024-Oct-25 19:07 |
klevernotes-lang-1.1.0-r0.apk | 145476 | 2024-Oct-25 19:07 |
py3-migen-0.9.2-r2.apk | 146039 | 2024-Oct-25 19:08 |
py3-pika-1.3.2-r1.apk | 146535 | 2024-Oct-25 19:08 |
py3-opendht-3.1.11-r0.apk | 147343 | 2025-Jan-27 20:56 |
grip-lang-4.2.4-r0.apk | 147889 | 2024-Oct-25 19:07 |
srain-1.8.0-r0.apk | 147929 | 2024-Nov-20 02:43 |
slidge-0.1.3-r0.apk | 148077 | 2024-Oct-25 19:08 |
libstirshaken-tools-0_git20240208-r2.apk | 148086 | 2024-Oct-25 19:07 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 148316 | 2024-Oct-25 19:07 |
font-fira-code-vf-6.2-r0.apk | 148546 | 2024-Oct-25 19:07 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 148700 | 2024-Oct-25 19:07 |
binwalk-2.4.3-r0.apk | 148798 | 2024-Dec-01 17:24 |
ocaml-mikmatch-1.0.9-r2.apk | 148934 | 2024-Oct-25 19:07 |
wk-adblock-0.0.4-r5.apk | 149370 | 2024-Oct-25 19:09 |
perl-ffi-platypus-doc-2.10-r0.apk | 149649 | 2024-Dec-19 05:14 |
ocaml-pcre-7.5.0-r4.apk | 150357 | 2024-Oct-25 19:07 |
py3-pelican-pyc-4.9.1-r2.apk | 150836 | 2024-Oct-25 19:08 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 151275 | 2024-Oct-25 19:08 |
cscope-15.9-r1.apk | 151353 | 2024-Oct-25 19:06 |
openswitcher-0.5.0-r4.apk | 151962 | 2024-Oct-25 19:08 |
brltty-lang-6.7-r0.apk | 152271 | 2024-Oct-25 19:06 |
trace-cmd-3.3.1-r1.apk | 152279 | 2025-Jan-25 07:04 |
libretro-opera-0_git20211214-r0.apk | 152394 | 2024-Oct-25 19:07 |
py3-blockdiag-pyc-3.0.0-r6.apk | 152407 | 2025-Jan-10 15:33 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 152493 | 2024-Oct-25 19:07 |
lumina-desktop-screenshot-1.6.2-r0.apk | 152651 | 2024-Oct-25 19:07 |
lizardfs-metalogger-3.13.0-r14.apk | 153295 | 2024-Dec-03 14:30 |
sciteco-common-2.3.0-r0.apk | 153356 | 2024-Dec-29 08:41 |
libretro-gw-0_git20220410-r0.apk | 153957 | 2024-Oct-25 19:07 |
hiawatha-11.6-r0.apk | 154061 | 2024-Oct-25 19:07 |
burp-3.1.4-r0.apk | 154366 | 2024-Oct-25 19:06 |
nb-7.15.1-r0.apk | 154393 | 2025-Feb-04 17:20 |
materia-gtk-theme-20210322-r1.apk | 154726 | 2024-Oct-25 19:07 |
vit-pyc-2.3.2-r1.apk | 155114 | 2024-Oct-25 19:09 |
lumina-desktop-archiver-1.6.2-r0.apk | 155454 | 2024-Oct-25 19:07 |
click-0.5.2-r3.apk | 155571 | 2024-Oct-25 19:06 |
heisenbridge-pyc-1.14.6-r0.apk | 155626 | 2024-Oct-25 19:07 |
mimedefang-3.5-r0.apk | 155975 | 2024-Oct-25 19:07 |
gearmand-1.1.21-r1.apk | 156203 | 2024-Oct-25 19:07 |
py3-plexapi-4.16.1-r0.apk | 156600 | 2025-Jan-12 17:48 |
tuned-2.24.1-r1.apk | 156601 | 2025-Jan-27 15:48 |
perl-git-raw-0.90-r2.apk | 157183 | 2024-Nov-22 18:51 |
kdiskmark-3.1.4-r1.apk | 157390 | 2024-Oct-25 19:07 |
libzrtpcpp-4.7.0-r0.apk | 157646 | 2025-Jan-04 21:55 |
py3-html5-parser-0.4.12-r1.apk | 157797 | 2024-Oct-25 19:08 |
pithos-pyc-1.6.1-r0.apk | 157986 | 2024-Oct-25 19:08 |
liboggz-dev-1.1.1-r2.apk | 158110 | 2024-Oct-25 19:07 |
sc-im-0.8.4-r0.apk | 158225 | 2024-Oct-25 19:08 |
py3-cssutils-2.11.1-r1.apk | 158926 | 2024-Oct-25 19:08 |
py3-pdal-3.4.5-r0.apk | 159201 | 2024-Nov-05 21:52 |
py3-moviepy-pyc-1.0.3-r6.apk | 159263 | 2024-Oct-25 19:08 |
thefuck-pyc-3.32-r5.apk | 159460 | 2024-Oct-25 19:08 |
py3-ovos-workshop-pyc-3.1.1-r0.apk | 159479 | 2024-Nov-25 12:41 |
olsrd-0.9.8-r3.apk | 159480 | 2024-Oct-25 19:08 |
hamster-time-tracker-3.0.3-r2.apk | 159569 | 2024-Oct-25 19:07 |
ocaml-mqtt-0.2.2-r0.apk | 159858 | 2024-Oct-25 19:07 |
libsimpleble-0.6.1-r2.apk | 160078 | 2024-Dec-14 19:23 |
py3-simplesat-pyc-0.8.2-r0.apk | 160331 | 2024-Oct-25 19:08 |
wok-3.0.0-r6.apk | 160360 | 2024-Oct-25 19:09 |
tree-sitter-vim-0.4.0-r0.apk | 160622 | 2025-Jan-10 18:41 |
cddlib-0.94m-r2.apk | 160788 | 2024-Oct-25 19:06 |
ocaml-ocplib-endian-1.2-r3.apk | 160935 | 2024-Oct-25 19:07 |
libntl-dev-11.5.1-r4.apk | 162493 | 2024-Oct-25 19:07 |
aufs-util-20161219-r3.apk | 162797 | 2024-Oct-25 19:05 |
nlopt-2.10.0-r0.apk | 162928 | 2025-Feb-06 04:42 |
libcec-rpi-6.0.2-r4.apk | 163069 | 2024-Oct-25 19:07 |
mongo-cxx-driver-3.8.0-r0.apk | 163137 | 2024-Oct-25 19:07 |
ocaml-arp-dev-3.0.0-r3.apk | 163208 | 2024-Oct-25 19:07 |
mailutils-doc-3.18-r0.apk | 163819 | 2025-Jan-09 05:56 |
libretro-gme-0_git20240628-r0.apk | 164140 | 2024-Oct-25 19:07 |
py3-minio-pyc-7.2.13-r0.apk | 164240 | 2024-Dec-25 18:58 |
kodi-game-libretro-frodo-0.0.1.25-r0.apk | 164276 | 2024-Oct-25 19:07 |
mspdebug-0.25-r1.apk | 164577 | 2024-Oct-25 19:07 |
par2cmdline-turbo-1.2.0-r0.apk | 164711 | 2024-Dec-10 16:35 |
py3-freetype-py-2.5.1-r0.apk | 164809 | 2024-Oct-25 19:08 |
makedumpfile-1.7.6-r0.apk | 165025 | 2024-Oct-28 04:12 |
opendht-3.1.11-r0.apk | 165394 | 2025-Jan-27 20:56 |
wolfssh-dev-1.4.17-r0.apk | 166040 | 2024-Oct-25 19:09 |
catfish-lang-4.20.0-r0.apk | 166279 | 2024-Dec-27 19:25 |
ocaml-calendar-dev-2.04-r4.apk | 166308 | 2024-Oct-25 19:07 |
ocaml-lru-dev-0.3.0-r2.apk | 166333 | 2024-Oct-25 19:07 |
perl-dancer2-1.1.2-r0.apk | 166946 | 2024-Dec-28 04:54 |
ocaml-cairo2-0.6.2-r2.apk | 167164 | 2024-Oct-25 19:07 |
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 167448 | 2024-Oct-25 19:08 |
fava-pyc-1.28-r0.apk | 168044 | 2024-Oct-25 19:07 |
olsrd-plugins-0.9.8-r3.apk | 168370 | 2024-Oct-25 19:08 |
soundconverter-4.0.6-r0.apk | 168626 | 2024-Nov-12 15:33 |
mmix-0_git20221025-r0.apk | 168685 | 2024-Oct-25 19:07 |
py3-xlwt-pyc-1.3.0-r9.apk | 169511 | 2024-Oct-25 19:08 |
zvbi-0.2.43-r0.apk | 169527 | 2024-Dec-07 20:32 |
mame-plugins-0.251-r0.apk | 170319 | 2024-Oct-25 19:07 |
binwalk-pyc-2.4.3-r0.apk | 171204 | 2024-Dec-01 17:24 |
ocaml-fix-20220121-r2.apk | 171437 | 2024-Oct-25 19:07 |
lomiri-ui-toolkit-dev-1.3.5110-r0.apk | 171746 | 2025-Jan-10 10:07 |
dnssec-tools-dev-2.2.3-r12.apk | 171760 | 2024-Oct-25 19:06 |
66-doc-0.8.0.1-r0.apk | 171786 | 2025-Jan-12 13:00 |
hy-pyc-1.0.0-r0.apk | 173171 | 2024-Oct-25 19:07 |
endeavour-43.0-r2.apk | 173608 | 2024-Dec-08 21:36 |
perl-mce-doc-1.901-r0.apk | 173731 | 2025-Jan-03 04:21 |
qqc2-suru-style-0.20230206-r1.apk | 173933 | 2024-Oct-25 19:08 |
libxo-1.7.5-r0.apk | 174234 | 2025-Jan-12 22:45 |
ocaml-sexplib0-0.16.0-r0.apk | 174788 | 2024-Oct-25 19:08 |
py3-netifaces2-0.0.22-r0.apk | 174893 | 2024-Oct-25 19:08 |
youtube-viewer-gtk-3.11.1-r0.apk | 175067 | 2024-Oct-25 19:09 |
trace-cmd-doc-3.3.1-r1.apk | 175377 | 2025-Jan-25 07:04 |
py3-asyauth-pyc-0.0.21-r0.apk | 175474 | 2024-Oct-25 19:08 |
moosefs-chunkserver-3.0.117-r2.apk | 175901 | 2024-Oct-25 19:07 |
py3-flask-peewee-3.0.6-r0.apk | 176172 | 2024-Oct-25 19:08 |
kimchi-lang-3.0.0-r7.apk | 176404 | 2024-Oct-25 19:07 |
ocaml-uucd-dev-14.0.0-r2.apk | 176552 | 2024-Oct-25 19:08 |
bananui-dbg-2.0.0-r0.apk | 177018 | 2024-Oct-25 19:05 |
commoncpp-dev-7.0.1-r1.apk | 177180 | 2024-Oct-25 19:06 |
sedutil-1.15.1-r1.apk | 177457 | 2024-Oct-25 19:08 |
hdf4-tools-4.2.15-r2.apk | 177504 | 2024-Oct-25 19:07 |
ocaml-libvirt-0.6.1.7-r0.apk | 177693 | 2024-Oct-25 19:07 |
py3-langcodes-3.3.0-r2.apk | 177705 | 2024-Oct-25 19:08 |
android-file-transfer-4.3-r1.apk | 177744 | 2025-Jan-26 19:29 |
ocaml-psq-dev-0.2.0-r2.apk | 177836 | 2024-Oct-25 19:08 |
soapy-sdr-remote-0.5.2-r1.apk | 178212 | 2024-Oct-25 19:08 |
lumina-desktop-textedit-1.6.2-r0.apk | 178712 | 2024-Oct-25 19:07 |
py3-libguestfs-1.52.0-r1.apk | 178773 | 2024-Oct-25 19:08 |
py3-levenshtein-0.26.1-r0.apk | 178910 | 2024-Dec-19 05:16 |
perl-extutils-makemaker-7.70-r2.apk | 178921 | 2024-Oct-25 19:08 |
lomiri-filemanager-app-lang-1.0.4-r0.apk | 178926 | 2024-Oct-25 19:07 |
ptpd-2.3.1-r1.apk | 179061 | 2024-Oct-25 19:08 |
perl-ffi-platypus-2.10-r0.apk | 179228 | 2024-Dec-19 05:14 |
ocaml-base64-dev-3.5.0-r2.apk | 179358 | 2024-Oct-25 19:07 |
py3-netmiko-4.4.0-r0.apk | 180066 | 2024-Oct-25 19:08 |
plplot-libs-5.15.0-r2.apk | 180264 | 2024-Oct-25 19:08 |
ocaml-angstrom-0.16.0-r0.apk | 180340 | 2024-Oct-25 19:07 |
click-pyc-0.5.2-r3.apk | 180571 | 2024-Oct-25 19:06 |
telegram-tdlib-dev-1.8.42-r0.apk | 181088 | 2025-Jan-03 19:10 |
py3-slidge-style-parser-0.1.8-r0.apk | 181184 | 2024-Oct-25 19:08 |
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk | 181930 | 2024-Nov-21 13:31 |
libettercap-0.8.3.1-r3.apk | 181943 | 2024-Oct-25 19:07 |
mimalloc1-debug-1.8.6-r0.apk | 182430 | 2024-Oct-25 19:07 |
py3-tlslite-ng-0.7.6-r8.apk | 182787 | 2024-Oct-25 19:08 |
py3-apicula-pyc-0.11.1-r1.apk | 183789 | 2024-Oct-25 19:08 |
freediameter-libfdcore-1.5.0-r1.apk | 183906 | 2024-Oct-25 19:07 |
ocaml-astring-dev-0.8.5-r2.apk | 184139 | 2024-Oct-25 19:07 |
hyfetch-pyc-1.99.0-r1.apk | 184318 | 2024-Oct-25 19:07 |
kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 184349 | 2024-Oct-25 19:07 |
snapper-lang-0.12.0-r0.apk | 184505 | 2024-Nov-20 02:43 |
py3-qpageview-pyc-0.6.2-r1.apk | 184548 | 2024-Oct-25 19:08 |
libvmaf-dev-3.0.0-r0.apk | 185001 | 2024-Oct-25 19:07 |
pympress-1.8.5-r1.apk | 185519 | 2024-Oct-25 19:08 |
qt6ct-0.9-r3.apk | 186511 | 2024-Dec-09 19:40 |
pympress-pyc-1.8.5-r1.apk | 186569 | 2024-Oct-25 19:08 |
libqd-doc-2.3.24-r0.apk | 186815 | 2024-Oct-25 19:07 |
php81-pecl-redis-6.1.0-r0.apk | 186841 | 2024-Oct-25 19:08 |
tcl9-dev-9.0.1-r0.apk | 187134 | 2024-Dec-22 06:12 |
amber-mpris-1.2.9-r0.apk | 187769 | 2024-Dec-22 17:00 |
mir-test-tools-2.15.0-r4.apk | 188474 | 2024-Oct-25 19:07 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 189119 | 2024-Oct-25 19:07 |
ocaml-mew_vi-0.5.0-r3.apk | 189675 | 2024-Oct-25 19:07 |
dodo-0_git20241007-r0.apk | 190155 | 2024-Oct-25 19:06 |
mailutils-3.18-r0.apk | 190229 | 2025-Jan-09 05:56 |
jotdown-0.4.0-r0.apk | 190230 | 2024-Oct-25 19:07 |
guake-pyc-3.10-r1.apk | 190382 | 2024-Oct-25 19:07 |
pyinfra-3.2-r0.apk | 190422 | 2025-Jan-25 07:04 |
py3-m2crypto-0.41.0-r2.apk | 190696 | 2024-Oct-25 19:08 |
perl-sys-virt-10.6.0-r0.apk | 190926 | 2024-Oct-25 19:08 |
ff2mpv-rust-1.1.5-r0.apk | 191005 | 2025-Jan-04 22:30 |
horizon-0.9.6-r9.apk | 191233 | 2024-Oct-25 19:07 |
py3-ly-0.9.8-r1.apk | 191725 | 2024-Oct-25 19:08 |
purple-hangouts-0_git20200422-r0.apk | 192246 | 2024-Oct-25 19:08 |
guake-lang-3.10-r1.apk | 192305 | 2024-Oct-25 19:07 |
belcard-libs-5.3.83-r0.apk | 192827 | 2024-Oct-25 19:05 |
py3-xsdata-24.12-r0.apk | 193159 | 2024-Dec-23 21:55 |
xandikos-pyc-0.2.12-r1.apk | 193308 | 2024-Nov-25 05:20 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 193894 | 2024-Oct-25 19:07 |
php81-pecl-timezonedb-2025.1-r0.apk | 194047 | 2025-Jan-25 07:04 |
sublime-music-0.12.0-r1.apk | 194060 | 2024-Oct-25 19:08 |
psftools-1.1.2-r0.apk | 194204 | 2024-Oct-25 19:08 |
gearmand-doc-1.1.21-r1.apk | 194272 | 2024-Oct-25 19:07 |
gmid-2.1.1-r0.apk | 194302 | 2024-Nov-27 19:26 |
ocaml-lambdasoup-0.7.3-r2.apk | 194406 | 2024-Oct-25 19:07 |
nitro-dev-2.7_beta8-r2.apk | 194516 | 2024-Oct-25 19:07 |
lomiri-indicator-network-lang-1.1.0-r0.apk | 194727 | 2025-Jan-10 10:07 |
py3-edalize-pyc-0.5.4-r0.apk | 194738 | 2024-Oct-25 19:08 |
ocaml-fmt-0.9.0-r2.apk | 195507 | 2024-Oct-25 19:07 |
py3-avro-pyc-1.11.3-r1.apk | 195955 | 2024-Oct-25 19:08 |
iwasm-gc-2.2.0-r0.apk | 196952 | 2024-Dec-05 16:11 |
lomiri-thumbnailer-3.0.4-r1.apk | 197247 | 2025-Jan-26 19:29 |
anari-sdk-static-0.7.2-r0.apk | 197265 | 2024-Oct-25 19:05 |
mjpg-streamer-0_git20210220-r1.apk | 197370 | 2024-Oct-25 19:07 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 197929 | 2024-Oct-25 19:07 |
py3-owslib-0.32.1-r0.apk | 198397 | 2025-Jan-25 07:04 |
virtme-ng-1.32-r1.apk | 199223 | 2025-Jan-25 15:54 |
wlroots0.12-0.12.0-r1.apk | 199828 | 2024-Oct-25 19:09 |
gingerbase-2.3.0-r7.apk | 199912 | 2024-Oct-25 19:07 |
spread-sheet-widget-dbg-0.10-r0.apk | 200140 | 2024-Oct-25 19:08 |
trantor-1.5.18-r0.apk | 200739 | 2024-Oct-25 19:08 |
libretro-cannonball-0_git20220309-r6.apk | 201310 | 2024-Oct-25 19:07 |
py3-dateparser-1.2.0-r0.apk | 201343 | 2024-Nov-23 04:07 |
linux-apfs-rw-src-0.3.8-r0.apk | 201774 | 2024-Oct-25 19:07 |
libecm-7.0.5-r1.apk | 201817 | 2024-Oct-25 19:07 |
dum-0.1.19-r1.apk | 202093 | 2024-Oct-25 19:07 |
kodi-peripheral-joystick-20.1.9-r0.apk | 202241 | 2024-Oct-25 19:07 |
boinc-libs-7.24.3-r0.apk | 202342 | 2024-Oct-25 19:06 |
ocaml-react-dev-1.2.2-r2.apk | 202801 | 2024-Oct-25 19:08 |
font-tinos-0_git20210228-r0.apk | 203425 | 2024-Oct-25 19:07 |
gpa-0.10.0-r2.apk | 203828 | 2024-Oct-25 19:07 |
pigpio-79-r4.apk | 205296 | 2024-Oct-25 19:08 |
poke-doc-4.2-r0.apk | 205395 | 2024-Oct-25 19:08 |
glfw-wayland-dbg-3.3.8-r3.apk | 205777 | 2024-Oct-25 19:07 |
php81-pecl-xlswriter-1.5.8-r0.apk | 205928 | 2024-Nov-11 01:44 |
perl-module-generic-doc-0.37.7-r0.apk | 206095 | 2024-Nov-01 14:31 |
remake-doc-1.5-r1.apk | 206491 | 2024-Oct-25 19:08 |
zydis-4.1.0-r0.apk | 207741 | 2024-Oct-25 19:09 |
endeavour-lang-43.0-r2.apk | 208014 | 2024-Dec-08 21:36 |
libzvbi-0.2.43-r0.apk | 208023 | 2024-Dec-07 20:32 |
csfml-doc-2.5.2-r0.apk | 208882 | 2024-Oct-25 19:06 |
font-fontawesome-4-4.7.0-r3.apk | 209933 | 2024-Oct-25 19:07 |
hamster-time-tracker-lang-3.0.3-r2.apk | 210636 | 2024-Oct-25 19:07 |
mrsh-dbg-0_git20210518-r1.apk | 210986 | 2024-Oct-25 19:07 |
libm4rie-20200125-r5.apk | 211125 | 2025-Jan-15 18:06 |
lomiri-docviewer-app-3.0.4-r1.apk | 211164 | 2025-Jan-29 19:44 |
devil-1.8.0-r0.apk | 211262 | 2024-Oct-25 19:06 |
soqt-1.6.3-r0.apk | 211315 | 2024-Dec-13 20:44 |
ovos-core-pyc-0.2.17-r0.apk | 211358 | 2024-Nov-25 12:41 |
ginger-pyc-2.4.0-r7.apk | 211801 | 2024-Oct-25 19:07 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 211982 | 2024-Oct-25 19:07 |
apache-mod-auth-openidc-2.4.16.4-r0.apk | 212603 | 2024-Oct-25 19:05 |
tup-0.7.11-r0.apk | 212635 | 2024-Oct-25 19:09 |
ocaml-ssl-dev-0.7.0-r0.apk | 212806 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04-..> | 212851 | 2024-Oct-25 19:08 |
uxplay-1.71.1-r0.apk | 213298 | 2025-Jan-25 16:26 |
pdal-python-plugins-1.6.2-r0.apk | 215019 | 2025-Jan-13 06:32 |
moosefs-3.0.117-r2.apk | 215155 | 2024-Oct-25 19:07 |
qt5ct-1.8-r0.apk | 215309 | 2024-Oct-25 19:08 |
py3-flask-security-pyc-5.4.3-r2.apk | 215723 | 2024-Oct-25 19:08 |
objconv-2.52_git20210213-r2.apk | 216347 | 2024-Oct-25 19:07 |
hfst-dev-3.16.0-r2.apk | 217632 | 2024-Oct-25 19:07 |
gst-rtsp-server-1.24.11-r0.apk | 217953 | 2025-Jan-25 16:46 |
draco-dev-1.5.7-r1.apk | 218076 | 2024-Oct-25 19:07 |
py3-simplesat-0.8.2-r0.apk | 219164 | 2024-Oct-25 19:08 |
ocaml-omod-dev-0.0.3-r3.apk | 219280 | 2024-Oct-25 19:07 |
py3-b2sdk-2.8.0-r0.apk | 219949 | 2025-Jan-26 23:25 |
chicago95-fonts-3.0.1_git20240619-r0.apk | 219989 | 2024-Oct-25 19:06 |
warpinator-1.8.8-r0.apk | 220852 | 2025-Feb-05 22:11 |
lomiri-settings-components-1.1.2-r0.apk | 220968 | 2025-Jan-10 10:07 |
ocaml-xml-light-2.5-r0.apk | 221881 | 2024-Oct-25 19:08 |
tmate-2.4.0-r4.apk | 222875 | 2024-Oct-25 19:08 |
cddlib-static-0.94m-r2.apk | 223310 | 2024-Oct-25 19:06 |
gmic-doc-3.3.5-r1.apk | 224075 | 2024-Nov-21 23:02 |
java-jtharness-examples-6.0_p12-r0.apk | 224128 | 2024-Oct-25 19:07 |
ocaml-calendar-2.04-r4.apk | 224243 | 2024-Oct-25 19:07 |
cz-viator-hourglass-black-20210706-r0.apk | 224306 | 2024-Oct-25 19:06 |
py3-spnego-pyc-0.11.2-r0.apk | 224332 | 2025-Jan-16 07:51 |
lomiri-clock-app-4.1.0-r0.apk | 224607 | 2024-Dec-02 11:45 |
ocaml-camlzip-dev-1.11-r2.apk | 224796 | 2024-Oct-25 19:07 |
nuklear-4.12.0-r0.apk | 225090 | 2024-Oct-25 19:07 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 225892 | 2024-Oct-25 19:08 |
parcellite-1.2.5-r0.apk | 226604 | 2024-Oct-25 19:08 |
py3-duniterpy-1.1.1-r3.apk | 226634 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 226715 | 2024-Oct-25 19:08 |
xfe-xfp-1.46.2-r0.apk | 226922 | 2024-Oct-25 19:09 |
libm4rie-static-20200125-r5.apk | 226976 | 2025-Jan-15 18:06 |
warpinator-lang-1.8.8-r0.apk | 227503 | 2025-Feb-05 22:11 |
ocaml-uunf-dev-14.0.0-r2.apk | 227797 | 2024-Oct-25 19:08 |
py3-cmd2-pyc-2.4.3-r2.apk | 227957 | 2024-Oct-25 19:08 |
scap-workbench-1.2.1-r3.apk | 228004 | 2024-Oct-25 19:08 |
irccd-4.0.3-r0.apk | 228173 | 2024-Oct-25 19:07 |
ocaml-magic-mime-1.3.1-r0.apk | 228190 | 2024-Oct-25 19:07 |
advancescan-1.18-r1.apk | 228376 | 2024-Oct-25 19:05 |
py3-pynest2d-5.2.2-r5.apk | 229513 | 2025-Feb-06 04:42 |
swayhide-0.2.1-r2.apk | 229634 | 2024-Oct-25 19:08 |
solanum-5.0.0-r0.apk | 230544 | 2024-Oct-25 19:08 |
hunspell-es-ar-2.7-r0.apk | 231922 | 2024-Oct-25 19:07 |
py3-github3-pyc-4.0.1-r1.apk | 232423 | 2024-Oct-25 19:08 |
pdm-2.18.2-r0.apk | 232713 | 2024-Oct-25 19:08 |
gpscorrelate-doc-2.2-r0.apk | 233394 | 2024-Nov-12 11:07 |
mediascanner2-0.115-r1.apk | 233599 | 2025-Jan-26 19:29 |
libfyaml-0.9-r0.apk | 233952 | 2024-Oct-25 19:07 |
getting-things-gnome-lang-0.6-r4.apk | 234203 | 2024-Dec-08 21:36 |
py3-imdbpy-2021.4.18-r5.apk | 234497 | 2024-Oct-25 19:08 |
libqd-static-2.3.24-r0.apk | 235158 | 2024-Oct-25 19:07 |
lomiri-libusermetrics-doc-1.3.3-r0.apk | 235243 | 2024-Oct-25 19:07 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0..> | 235821 | 2024-Oct-25 19:08 |
kgraphviewer-lang-2.5.0-r0.apk | 235960 | 2024-Oct-25 19:07 |
chocolate-doom-doc-3.1.0-r0.apk | 236897 | 2024-Oct-25 19:06 |
commoncpp-7.0.1-r1.apk | 236989 | 2024-Oct-25 19:06 |
timew-1.4.3-r1.apk | 237035 | 2024-Oct-25 19:08 |
vera++-1.3.0-r10.apk | 237087 | 2024-Oct-25 19:09 |
py3-asysocks-pyc-0.2.13-r0.apk | 237391 | 2024-Oct-25 19:08 |
komikku-lang-1.67.0-r0.apk | 238043 | 2025-Jan-12 13:00 |
lomiri-ui-extras-0.6.3-r1.apk | 238270 | 2024-Oct-25 19:07 |
py3-pelican-4.9.1-r2.apk | 239327 | 2024-Oct-25 19:08 |
lomiri-weather-app-5.13.5-r1.apk | 240913 | 2024-Oct-25 19:07 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 241767 | 2024-Oct-25 19:08 |
postgresql-pgmq-1.1.1-r1.apk | 241981 | 2024-Oct-25 19:08 |
sigma-0.23.1-r1.apk | 242460 | 2024-Oct-25 19:08 |
muon-0.3.1-r0.apk | 242742 | 2025-Feb-04 17:20 |
muon-wrap-0.3.1-r0.apk | 243977 | 2025-Feb-04 17:20 |
ptyxis-lang-47.8-r0.apk | 245399 | 2025-Jan-28 22:24 |
py3-scrapy-2.11.1-r1.apk | 245741 | 2024-Oct-25 19:08 |
kodi-game-libretro-atari800-3.1.0.28-r0.apk | 246480 | 2024-Oct-25 19:07 |
hdf4-4.2.15-r2.apk | 246625 | 2024-Oct-25 19:07 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 247038 | 2024-Oct-25 19:07 |
gede-2.18.2-r1.apk | 247181 | 2024-Oct-25 19:07 |
cluster-glue-1.0.12-r5.apk | 248057 | 2024-Oct-25 19:06 |
timewarrior-1.7.1-r0.apk | 248159 | 2024-Oct-25 19:08 |
libopensles-standalone-dbg-0_git20240221-r0.apk | 248270 | 2024-Oct-25 19:07 |
opmsg-1.84-r1.apk | 248392 | 2024-Oct-25 19:08 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 248401 | 2024-Oct-25 19:08 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 248898 | 2024-Oct-25 19:08 |
ptyxis-47.8-r0.apk | 249328 | 2025-Jan-28 22:24 |
wlroots0.15-0.15.1-r6.apk | 250128 | 2024-Oct-25 19:09 |
libgivaro-dev-4.2.0-r2.apk | 250284 | 2024-Oct-25 19:07 |
py3-kazoo-pyc-0_git20211202-r4.apk | 250373 | 2024-Oct-25 19:08 |
ocaml-metrics-0.4.0-r3.apk | 250519 | 2024-Oct-25 19:07 |
xfe-xfi-1.46.2-r0.apk | 250695 | 2024-Oct-25 19:09 |
py3-pika-pyc-1.3.2-r1.apk | 251785 | 2024-Oct-25 19:08 |
ocaml-sha-dev-1.15.4-r0.apk | 253212 | 2024-Oct-25 19:08 |
venc-3.2.5-r0.apk | 254169 | 2025-Feb-04 21:47 |
visidata-2.11.1-r2.apk | 254323 | 2024-Oct-25 19:09 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 254335 | 2024-Oct-25 19:07 |
mkdocs-cinder-1.2.0-r5.apk | 254597 | 2024-Oct-25 19:07 |
font-comic-neue-2.51-r0.apk | 254741 | 2024-Oct-25 19:07 |
libretro-nxengine-0_git20220301-r0.apk | 255139 | 2024-Oct-25 19:07 |
snapraid-12.3-r0.apk | 255544 | 2024-Oct-25 19:08 |
linphone-dev-5.3.38-r0.apk | 255715 | 2024-Oct-25 19:07 |
libretro-crocods-0_git20210314-r1.apk | 255909 | 2024-Oct-25 19:07 |
libretro-atari800-0_git20240924-r0.apk | 256098 | 2024-Oct-25 19:07 |
mkosi-25.3-r0.apk | 256898 | 2025-Feb-04 17:20 |
lsmash-2.14.5-r2.apk | 256960 | 2024-Oct-25 19:07 |
font-commit-mono-1.143-r0.apk | 257285 | 2024-Oct-25 19:07 |
sfwbar-1.0_beta16-r1.apk | 258577 | 2024-Dec-12 19:02 |
nwg-panel-pyc-0.9.62-r0.apk | 258599 | 2025-Jan-25 07:04 |
perl-module-generic-0.37.7-r0.apk | 258860 | 2024-Nov-01 14:31 |
lomiri-lang-0.3.0-r0.apk | 259226 | 2024-Oct-25 19:07 |
lomiri-content-hub-2.0.0-r0.apk | 259292 | 2024-Oct-25 19:07 |
speedtest-5.2.5-r1.apk | 259544 | 2024-Oct-25 19:08 |
avra-dev-1.4.2-r0.apk | 260828 | 2024-Oct-25 19:05 |
mergerfs-2.40.2-r1.apk | 261182 | 2024-Oct-25 19:07 |
libzvbi-static-0.2.43-r0.apk | 261687 | 2024-Dec-07 20:32 |
ocaml-num-1.4-r3.apk | 261690 | 2024-Oct-25 19:07 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 261837 | 2024-Oct-25 19:07 |
ginger-2.4.0-r7.apk | 263235 | 2024-Oct-25 19:07 |
dcmtk-doc-3.6.9-r0.apk | 263590 | 2025-Jan-25 07:03 |
hex-0.6.0-r0.apk | 264134 | 2024-Oct-25 19:07 |
appcenter-lang-8.0.0-r0.apk | 264506 | 2024-Nov-12 21:55 |
ocaml-mqtt-dev-0.2.2-r0.apk | 264707 | 2024-Oct-25 19:07 |
uasm-2.56.2-r0.apk | 265030 | 2024-Oct-25 19:09 |
xfe-xfw-1.46.2-r0.apk | 265035 | 2024-Oct-25 19:09 |
waylevel-1.0.0-r1.apk | 266084 | 2024-Oct-25 19:09 |
mkdocs-bootstrap4-0.1.5-r5.apk | 266298 | 2024-Oct-25 19:07 |
litehtml-0.9-r1.apk | 266594 | 2025-Jan-04 04:31 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 266949 | 2024-Oct-25 19:07 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 266987 | 2024-Oct-25 19:07 |
platformio-core-6.1.7-r3.apk | 268800 | 2024-Oct-25 19:08 |
libqofono-qt5-0.124-r0.apk | 269048 | 2025-Jan-10 15:33 |
py3-minikerberos-pyc-0.4.4-r1.apk | 269899 | 2024-Oct-25 19:08 |
openttd-doc-14.1-r0.apk | 270409 | 2024-Oct-25 19:08 |
font-anonymous-pro-1.002-r2.apk | 270823 | 2024-Oct-25 19:07 |
biometryd-0.3.1-r6.apk | 271873 | 2024-Nov-12 19:41 |
py3-flask-security-5.4.3-r2.apk | 273445 | 2024-Oct-25 19:08 |
coxeter-libs-3.0-r1.apk | 273706 | 2024-Oct-25 19:06 |
xtensor-0.25.0-r0.apk | 273874 | 2025-Jan-15 02:50 |
restinio-dev-0.6.19-r1.apk | 274277 | 2024-Dec-14 19:23 |
moosefs-master-3.0.117-r2.apk | 274731 | 2024-Oct-25 19:07 |
apache-mod-auth-openidc-static-2.4.16.4-r0.apk | 275201 | 2024-Oct-25 19:05 |
py3-iso639-lang-2.2.3-r0.apk | 275441 | 2024-Oct-25 19:08 |
toybox-0.8.11-r1.apk | 275619 | 2024-Oct-25 19:08 |
xgalaga-2.1.1.0-r1.apk | 275734 | 2024-Oct-25 19:09 |
lynis-3.1.1-r0.apk | 277762 | 2024-Oct-25 19:07 |
drawing-lang-1.0.2-r0.apk | 278504 | 2024-Oct-25 19:07 |
libtins-4.5-r1.apk | 278939 | 2024-Oct-25 19:07 |
csmith-2.3.0-r2.apk | 279760 | 2024-Oct-25 19:06 |
ocaml-integers-dev-0.7.0-r2.apk | 280311 | 2024-Oct-25 19:07 |
py3-anyascii-0.3.2-r1.apk | 281317 | 2024-Oct-25 19:08 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 281367 | 2024-Oct-25 19:08 |
nwg-panel-0.9.62-r0.apk | 281747 | 2025-Jan-25 07:04 |
guestfs-tools-1.52.0-r1.apk | 281850 | 2024-Oct-25 19:07 |
gaupol-1.12-r2.apk | 282799 | 2024-Oct-25 19:07 |
gaupol-lang-1.12-r2.apk | 283323 | 2024-Oct-25 19:07 |
masky-0.2.0-r1.apk | 284387 | 2024-Oct-25 19:07 |
welle-cli-2.6-r0.apk | 284857 | 2024-Dec-21 23:38 |
py3-cssutils-pyc-2.11.1-r1.apk | 285335 | 2024-Oct-25 19:08 |
ocaml-uucd-14.0.0-r2.apk | 285433 | 2024-Oct-25 19:08 |
trigger-rally-0.6.7-r2.apk | 286032 | 2024-Oct-25 19:08 |
py3-tpm2-pytss-2.3.0-r1.apk | 286827 | 2024-Oct-25 19:08 |
anari-sdk-0.7.2-r0.apk | 287035 | 2024-Oct-25 19:05 |
vectoroids-1.1.0-r2.apk | 287539 | 2024-Oct-25 19:09 |
bees-0.10-r2.apk | 288068 | 2024-Oct-25 19:05 |
font-intel-one-mono-1.3.0-r0.apk | 288180 | 2024-Oct-25 19:07 |
amule-doc-2.3.3-r13.apk | 288201 | 2024-Oct-25 19:05 |
pw-volume-0.5.0-r1.apk | 288359 | 2024-Oct-25 19:08 |
libblastrampoline-5.2.0-r0.apk | 288614 | 2024-Oct-25 19:07 |
tree-sitter-haskell-0.23.1-r0.apk | 289418 | 2025-Jan-09 15:53 |
ocaml-react-1.2.2-r2.apk | 289788 | 2024-Oct-25 19:08 |
projectm-sdl-3.1.12-r2.apk | 289944 | 2024-Oct-25 19:08 |
ocaml-otr-0.3.10-r2.apk | 290363 | 2024-Oct-25 19:07 |
fileshelter-6.2.0-r2.apk | 291010 | 2024-Dec-07 00:23 |
kodi-pvr-hts-20.6.2-r1.apk | 291143 | 2024-Oct-25 19:07 |
foma-0.10.0_git20240712-r0.apk | 291206 | 2024-Oct-25 19:07 |
slidge-pyc-0.1.3-r0.apk | 291847 | 2024-Oct-25 19:08 |
habitctl-0.1.0-r2.apk | 292091 | 2024-Oct-25 19:07 |
libretro-cap32-0_git20220419-r0.apk | 292514 | 2024-Oct-25 19:07 |
ocaml-astring-0.8.5-r2.apk | 292578 | 2024-Oct-25 19:07 |
py3-cassandra-driver-3.29.2-r0.apk | 292704 | 2024-Oct-25 19:08 |
diskus-0.7.0-r2.apk | 294018 | 2024-Oct-25 19:06 |
emacs-ement-0.15.1-r0.apk | 294172 | 2024-Oct-25 19:07 |
lomiri-app-launch-0.1.11-r0.apk | 294185 | 2025-Jan-26 01:34 |
phoronix-test-suite-doc-10.8.4-r2.apk | 294354 | 2024-Oct-25 19:08 |
py3-imageio-2.35.1-r0.apk | 294365 | 2024-Oct-25 19:08 |
lomiri-weather-app-lang-5.13.5-r1.apk | 294568 | 2024-Oct-25 19:07 |
kew-3.0.3-r0.apk | 295091 | 2025-Feb-01 17:06 |
libspatialindex-0_git20210205-r1.apk | 295239 | 2024-Oct-25 19:07 |
stardict-lang-3.0.6-r6.apk | 296455 | 2024-Oct-25 19:08 |
vixl-7.0.0-r0.apk | 297001 | 2024-Oct-25 19:09 |
ocaml-conduit-6.1.0-r0.apk | 297634 | 2024-Oct-25 19:07 |
xvkbd-4.1-r2.apk | 297677 | 2024-Oct-25 19:09 |
openwsman-libs-2.7.2-r5.apk | 299775 | 2024-Oct-25 19:08 |
py3-python-stdnum-pyc-1.20-r0.apk | 300543 | 2024-Oct-25 19:08 |
wlroots0.16-0.16.2-r0.apk | 302363 | 2024-Oct-28 13:47 |
3proxy-0.9.4-r1.apk | 302368 | 2024-Oct-25 19:05 |
ripdrag-0.4.10-r0.apk | 302445 | 2024-Oct-25 19:08 |
py3-migen-pyc-0.9.2-r2.apk | 302742 | 2024-Oct-25 19:08 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 303545 | 2024-Oct-25 19:07 |
66-0.8.0.1-r0.apk | 303608 | 2025-Jan-12 13:00 |
percona-toolkit-doc-3.5.4-r1.apk | 304660 | 2024-Oct-25 19:08 |
perl-dancer2-doc-1.1.2-r0.apk | 307990 | 2024-Dec-28 04:54 |
py3-gnucash-5.10-r0.apk | 309017 | 2024-Dec-27 19:25 |
sublime-music-pyc-0.12.0-r1.apk | 309428 | 2024-Oct-25 19:08 |
gnucash-dev-5.10-r0.apk | 309515 | 2024-Dec-27 19:25 |
ocaml-pcre-dev-7.5.0-r4.apk | 309560 | 2024-Oct-25 19:07 |
apache2-mod-perl-doc-2.0.13-r1.apk | 310022 | 2024-Oct-25 19:05 |
cutechess-cli-1.3.1-r0.apk | 312129 | 2024-Oct-25 19:06 |
modem-manager-gui-0.0.20-r0.apk | 312192 | 2024-Oct-25 19:07 |
perl-libintl-perl-1.35-r0.apk | 312243 | 2025-Jan-16 13:35 |
guake-3.10-r1.apk | 312651 | 2024-Oct-25 19:07 |
libvmaf-3.0.0-r0.apk | 312708 | 2024-Oct-25 19:07 |
ircd-hybrid-8.2.46-r0.apk | 312886 | 2025-Jan-25 07:04 |
py3-plexapi-pyc-4.16.1-r0.apk | 313362 | 2025-Jan-12 17:48 |
rizin-dev-0.6.3-r1.apk | 313455 | 2024-Oct-25 19:08 |
ocaml-notty-0.2.3-r0.apk | 315229 | 2024-Oct-25 19:07 |
lomiri-filemanager-app-1.0.4-r0.apk | 315535 | 2024-Oct-25 19:07 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 316773 | 2024-Oct-25 19:07 |
minisatip-1.3.4-r0.apk | 317375 | 2024-Oct-25 19:07 |
plplot-doc-5.15.0-r2.apk | 318031 | 2024-Oct-25 19:08 |
moosefs-client-3.0.117-r2.apk | 318668 | 2024-Oct-25 19:07 |
flint-dev-2.9.0-r2.apk | 318786 | 2024-Oct-25 19:07 |
lomiri-history-service-0.6-r2.apk | 318867 | 2024-Dec-07 12:39 |
bartib-1.0.1-r1.apk | 319118 | 2024-Oct-25 19:05 |
ocaml-curses-dev-1.0.10-r2.apk | 319603 | 2024-Oct-25 19:07 |
py3-xdoctest-1.2.0-r0.apk | 319995 | 2024-Nov-21 13:31 |
rclone-browser-1.8.0-r1.apk | 320373 | 2024-Oct-25 19:08 |
bkt-0.8.0-r0.apk | 320380 | 2024-Oct-25 19:05 |
ocaml-ipaddr-5.3.1-r2.apk | 320681 | 2024-Oct-25 19:07 |
planner-0.14.92-r1.apk | 320692 | 2024-Dec-08 21:36 |
ocaml-fileutils-0.6.4-r2.apk | 321257 | 2024-Oct-25 19:07 |
mediastreamer2-5.3.41-r0.apk | 322125 | 2024-Oct-25 19:07 |
py3-msldap-pyc-0.5.12-r0.apk | 322296 | 2024-Oct-25 19:08 |
dnssec-tools-doc-2.2.3-r12.apk | 322979 | 2024-Oct-25 19:06 |
font-fantasque-sans-normal-1.8.0-r0.apk | 323352 | 2024-Oct-25 19:07 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 323353 | 2024-Oct-25 19:07 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 323359 | 2024-Oct-25 19:07 |
font-fantasque-sans-largelineheightnoloopk-1.8.0..> | 323365 | 2024-Oct-25 19:07 |
ocaml-asn1-combinators-0.2.6-r2.apk | 323426 | 2024-Oct-25 19:07 |
code-minimap-0.6.7-r0.apk | 323769 | 2024-Dec-12 19:34 |
ocaml-qtest-2.11.2-r3.apk | 324892 | 2024-Oct-25 19:08 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 325239 | 2024-Oct-25 19:07 |
pypykatz-0.6.10-r0.apk | 325460 | 2024-Oct-25 19:08 |
toml2json-1.3.1-r0.apk | 326325 | 2024-Oct-25 19:08 |
tree-sitter-kotlin-0.3.8-r0.apk | 326922 | 2024-Oct-25 19:08 |
tuned-pyc-2.24.1-r1.apk | 327140 | 2025-Jan-27 15:48 |
gstreamermm-dev-1.10.0-r4.apk | 327905 | 2024-Oct-25 19:07 |
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk | 328067 | 2024-Oct-25 19:07 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 328352 | 2024-Oct-25 19:07 |
monetdb-doc-11.33.11-r4.apk | 328634 | 2024-Oct-25 19:07 |
libguestfs-1.52.0-r1.apk | 330202 | 2024-Oct-25 19:07 |
ocaml-gen-1.1-r1.apk | 331034 | 2024-Oct-25 19:07 |
opentelemetry-cpp-dev-1.11.0-r5.apk | 331037 | 2024-Nov-11 17:30 |
ocaml-ocf-dev-0.8.0-r3.apk | 331628 | 2024-Oct-25 19:07 |
lsmash-dev-2.14.5-r2.apk | 333636 | 2024-Oct-25 19:07 |
wlroots0.17-0.17.4-r1.apk | 333981 | 2024-Nov-24 05:46 |
coin-dev-4.0.3-r0.apk | 334056 | 2024-Dec-13 20:39 |
kodi-game-libretro-mame2000-0.37.0.32-r0.apk | 334218 | 2024-Oct-25 19:07 |
morph-browser-lang-1.1.2-r0.apk | 334630 | 2025-Jan-26 01:34 |
musikcube-plugin-server-3.0.4-r1.apk | 334811 | 2025-Jan-26 19:29 |
lgogdownloader-3.16-r0.apk | 337356 | 2024-Dec-25 18:58 |
ocaml-omod-0.0.3-r3.apk | 337987 | 2024-Oct-25 19:07 |
htslib-1.19-r0.apk | 338751 | 2024-Oct-25 19:07 |
libsigrokdecode-0.5.3-r4.apk | 339608 | 2024-Oct-25 19:07 |
lizardfs-chunkserver-3.13.0-r14.apk | 339801 | 2024-Dec-03 14:30 |
lxqt-wayland-session-0.1.0-r0.apk | 339954 | 2025-Jan-11 10:32 |
py3-eventlet-0.38.1-r0.apk | 340184 | 2024-Dec-11 21:35 |
gloox-1.0.28-r0.apk | 340386 | 2024-Oct-25 19:07 |
perl-snmp-info-3.972002-r0.apk | 340713 | 2024-Oct-30 05:59 |
ocaml-down-dev-0.1.0-r3.apk | 341397 | 2024-Oct-25 19:07 |
py3-netmiko-pyc-4.4.0-r0.apk | 341601 | 2024-Oct-25 19:08 |
py3-dateparser-pyc-1.2.0-r0.apk | 341750 | 2024-Nov-23 04:07 |
diceware-1.0.1-r0.apk | 342042 | 2025-Jan-13 22:49 |
libsemigroups-dev-2.7.3-r1.apk | 342533 | 2024-Dec-14 19:23 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 342684 | 2024-Oct-25 19:08 |
checkpolicy-3.6-r0.apk | 343329 | 2024-Oct-25 19:06 |
py3-eventlet-pyc-0.38.1-r0.apk | 343697 | 2024-Dec-11 21:35 |
timoni-doc-0.23.0-r1.apk | 345966 | 2025-Jan-25 07:04 |
php81-pear-8.1.31-r0.apk | 345983 | 2024-Nov-20 02:43 |
libretro-tyrquake-0_git20220409-r0.apk | 346980 | 2024-Oct-25 19:07 |
spread-sheet-widget-dev-0.10-r0.apk | 347656 | 2024-Oct-25 19:08 |
sigma-pyc-0.23.1-r1.apk | 348180 | 2024-Oct-25 19:08 |
barman-3.12.1-r0.apk | 348971 | 2024-Dec-31 16:20 |
ocaml-angstrom-dev-0.16.0-r0.apk | 349139 | 2024-Oct-25 19:07 |
desed-1.2.1-r1.apk | 350025 | 2024-Oct-25 19:06 |
wcm-0.8.0-r0.apk | 351765 | 2024-Oct-25 19:09 |
vkbasalt-0.3.2.10-r0.apk | 352404 | 2024-Oct-25 19:09 |
py3-hfst-3.16.0-r2.apk | 352579 | 2024-Oct-25 19:08 |
schismtracker-20231029-r0.apk | 353095 | 2024-Oct-25 19:08 |
gnome-latex-3.47.0-r2.apk | 353102 | 2024-Dec-12 19:26 |
fflas-ffpack-2.5.0-r3.apk | 353618 | 2024-Oct-25 19:07 |
bobcat-doc-4.09.00-r0.apk | 353687 | 2024-Oct-25 19:05 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 353887 | 2024-Oct-25 19:08 |
gkrellm-2.3.11-r0.apk | 354125 | 2025-Jan-08 22:36 |
tree-sitter-c-sharp-0.23.1-r0.apk | 354508 | 2024-Nov-13 00:51 |
perl-html-object-0.5.1-r0.apk | 356701 | 2024-Oct-25 19:08 |
pympress-doc-1.8.5-r1.apk | 356780 | 2024-Oct-25 19:08 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 356852 | 2024-Oct-25 19:07 |
pyinfra-pyc-3.2-r0.apk | 357054 | 2025-Jan-25 07:04 |
ocaml-utop-2.9.1-r4.apk | 357586 | 2024-Oct-25 19:08 |
xml2rfc-3.25.0-r0.apk | 358070 | 2024-Dec-12 19:32 |
knxd-0.14.61-r1.apk | 358512 | 2024-Dec-14 19:23 |
ocaml-bos-dev-0.2.1-r2.apk | 359288 | 2024-Oct-25 19:07 |
torrent-file-editor-0.3.18-r0.apk | 360277 | 2024-Oct-25 19:08 |
atlantik-3.5.10_git20240323-r0.apk | 362282 | 2024-Oct-25 19:05 |
gdcm-3.0.24-r0.apk | 362744 | 2024-Oct-25 19:07 |
openvpn3-3.8.5-r0.apk | 363397 | 2024-Oct-25 19:08 |
py3-ly-pyc-0.9.8-r1.apk | 363611 | 2024-Oct-25 19:08 |
cargo-sort-1.0.9_git20240110-r0.apk | 363992 | 2024-Oct-25 19:06 |
perl-dbix-class-0.082844-r0.apk | 364007 | 2025-Jan-16 17:26 |
libqofono-qt6-0.124-r0.apk | 364369 | 2025-Jan-10 15:33 |
amber-0.3.3-r0.apk | 365772 | 2024-Oct-25 19:05 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 366364 | 2024-Oct-25 19:08 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 366726 | 2024-Oct-25 19:07 |
py3-flask-admin-pyc-1.6.1-r3.apk | 366896 | 2024-Oct-25 19:08 |
drumgizmo-0.9.20-r1.apk | 367106 | 2024-Oct-25 19:07 |
lumina-desktop-fm-1.6.2-r0.apk | 368398 | 2024-Oct-25 19:07 |
py3-pycaption-2.2.15-r0.apk | 368715 | 2024-Oct-25 19:08 |
libretro-neocd-0_git20220325-r1.apk | 369486 | 2024-Oct-25 19:07 |
sndfile-tools-doc-1.5-r1.apk | 369631 | 2024-Oct-25 19:08 |
singular-dev-4.4.1-r0.apk | 372213 | 2025-Feb-06 04:42 |
river-luatile-0.1.3-r0.apk | 372781 | 2024-Oct-25 19:08 |
ocaml-biniou-dev-1.2.1-r5.apk | 374270 | 2024-Oct-25 19:07 |
py3-django-suit-0.2.28-r8.apk | 374544 | 2024-Oct-25 19:08 |
projectm-pulseaudio-3.1.12-r2.apk | 374735 | 2024-Oct-25 19:08 |
libantlr4-4.13.2-r0.apk | 376825 | 2024-Dec-12 12:29 |
py3-pacparser-1.4.5-r1.apk | 377065 | 2024-Oct-25 19:08 |
ovos-core-0.2.17-r0.apk | 378025 | 2024-Nov-25 12:41 |
py3-bleak-0.22.3-r0.apk | 378690 | 2024-Oct-25 19:08 |
jackdaw-pyc-0.3.1-r1.apk | 379192 | 2024-Oct-25 19:07 |
py3-igraph-pyc-0.11.8-r0.apk | 379655 | 2024-Nov-24 05:46 |
welle-io-2.6-r0.apk | 379687 | 2024-Dec-21 23:38 |
grip-4.2.4-r0.apk | 381078 | 2024-Oct-25 19:07 |
advancemame-doc-3.9-r4.apk | 382539 | 2024-Oct-25 19:05 |
libntl-doc-11.5.1-r4.apk | 382539 | 2024-Oct-25 19:07 |
lomiri-calculator-app-4.0.2-r0.apk | 383734 | 2024-Oct-25 19:07 |
php81-fileinfo-8.1.31-r0.apk | 384628 | 2024-Nov-20 02:43 |
pastel-0.10.0-r0.apk | 385365 | 2024-Oct-25 19:08 |
nitrocli-0.4.1-r3.apk | 385587 | 2024-Oct-25 19:07 |
kimchi-pyc-3.0.0-r7.apk | 387064 | 2024-Oct-25 19:07 |
noblenote-1.2.1-r1.apk | 387250 | 2024-Oct-25 19:07 |
perl-snmp-info-doc-3.972002-r0.apk | 387944 | 2024-Oct-30 05:59 |
gkrellm-lang-2.3.11-r0.apk | 388220 | 2025-Jan-08 22:36 |
freshrss-lang-1.23.1-r1.apk | 388330 | 2024-Oct-25 19:07 |
e16-lang-1.0.30-r0.apk | 388734 | 2024-Nov-05 13:22 |
py3-slixmpp-1.8.5-r2.apk | 389802 | 2024-Oct-25 19:08 |
qtile-0.23.0-r2.apk | 390917 | 2024-Oct-25 19:08 |
appcenter-8.0.0-r0.apk | 391136 | 2024-Nov-12 21:55 |
cargo-vendor-filterer-0.5.9-r1.apk | 391510 | 2024-Oct-25 19:06 |
batmon-0.0.1-r0.apk | 391897 | 2024-Oct-25 19:05 |
cargo-run-bin-1.7.2-r0.apk | 392658 | 2024-Oct-25 19:06 |
kodi-vfs-rar-20.1.0-r1.apk | 392931 | 2024-Oct-25 19:07 |
ocaml-xml-light-dev-2.5-r0.apk | 394804 | 2024-Oct-25 19:08 |
mimalloc1-dev-1.8.6-r0.apk | 395262 | 2024-Oct-25 19:07 |
py3-aioxmpp-0.13.3-r3.apk | 396981 | 2024-Oct-25 19:08 |
birdtray-1.9.0-r1.apk | 397128 | 2024-Oct-25 19:05 |
nvim-treesitter-0.9.3-r0.apk | 397567 | 2024-Nov-04 03:04 |
squeak-vm-4.10.2.2614-r1.apk | 398027 | 2024-Oct-25 19:08 |
diskonaut-0.11.0-r3.apk | 398608 | 2024-Oct-25 19:06 |
py3-xsdata-pyc-24.12-r0.apk | 398652 | 2024-Dec-23 21:55 |
py3-igraph-0.11.8-r0.apk | 402416 | 2024-Nov-24 05:46 |
mkosi-pyc-25.3-r0.apk | 402852 | 2025-Feb-04 17:20 |
rpicam-apps-1.5.3-r0.apk | 403028 | 2025-Jan-25 07:04 |
zycore-doc-1.5.0-r0.apk | 403565 | 2024-Oct-25 19:09 |
simgear-dev-2020.3.19-r1.apk | 403701 | 2024-Oct-25 19:08 |
piper-phonemize-dev-2023.11.14.4-r5.apk | 403949 | 2024-Oct-25 19:08 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 404070 | 2024-Oct-25 19:07 |
lol-html-1.1.1-r1.apk | 406978 | 2024-Oct-25 19:07 |
ocaml-parsexp-0.16.0-r0.apk | 407809 | 2024-Oct-25 19:07 |
projectm-3.1.12-r2.apk | 408348 | 2024-Oct-25 19:08 |
gstreamermm-1.10.0-r4.apk | 410593 | 2024-Oct-25 19:07 |
qsynth-1.0.2-r0.apk | 411941 | 2024-Oct-25 19:08 |
py3-b2sdk-pyc-2.8.0-r0.apk | 412182 | 2025-Jan-26 23:25 |
xml2rfc-pyc-3.25.0-r0.apk | 413440 | 2024-Dec-12 19:32 |
svgbob-0.7.6-r0.apk | 414206 | 2025-Feb-04 17:20 |
ocaml-topkg-dev-1.0.5-r2.apk | 416423 | 2024-Oct-25 19:08 |
libretro-picodrive-0_git20220405-r0.apk | 417300 | 2024-Oct-25 19:07 |
qtpass-1.4.0-r0.apk | 418471 | 2024-Oct-25 19:08 |
qflipper-1.3.3-r1.apk | 420618 | 2024-Oct-25 19:08 |
gnome-metronome-1.3.0-r0.apk | 420800 | 2024-Oct-25 19:07 |
lua5.1-luacov-html-1.0.0-r1.apk | 422635 | 2024-Oct-25 19:07 |
lua5.2-luacov-html-1.0.0-r1.apk | 422657 | 2024-Oct-25 19:07 |
lua5.3-luacov-html-1.0.0-r1.apk | 422679 | 2024-Oct-25 19:07 |
ghostcloud-0.9.9.5-r2.apk | 423905 | 2024-Oct-25 19:07 |
cln-1.3.7-r0.apk | 424942 | 2024-Oct-25 19:06 |
heh-0.6.1-r0.apk | 425143 | 2024-Oct-25 19:07 |
htslib-static-1.19-r0.apk | 428910 | 2024-Oct-25 19:07 |
gaupol-pyc-1.12-r2.apk | 429303 | 2024-Oct-25 19:07 |
libretro-openlara-0_git20210121-r0.apk | 429813 | 2024-Oct-25 19:07 |
wamrc-2.2.0-r0.apk | 429834 | 2024-Dec-05 16:11 |
uucp-1.07-r6.apk | 430157 | 2024-Oct-25 19:09 |
perl-dbix-class-doc-0.082844-r0.apk | 430595 | 2025-Jan-16 17:26 |
neko-2.3.0-r0.apk | 431306 | 2024-Nov-21 01:04 |
libppl-1.2-r1.apk | 432365 | 2024-Oct-25 19:07 |
py3-owslib-pyc-0.32.1-r0.apk | 432948 | 2025-Jan-25 07:04 |
scooper-1.3-r1.apk | 433770 | 2024-Oct-25 19:08 |
esptool-4.8.1-r0.apk | 434186 | 2024-Oct-25 19:07 |
libuninameslist-20230916-r0.apk | 435382 | 2024-Oct-25 19:07 |
wordgrinder-0.8-r2.apk | 435510 | 2024-Oct-25 19:09 |
quakespasm-0.96.3-r0.apk | 436528 | 2024-Oct-25 19:08 |
freediameter-extensions-1.5.0-r1.apk | 436996 | 2024-Oct-25 19:07 |
ocaml-fix-dev-20220121-r2.apk | 439324 | 2024-Oct-25 19:07 |
critcl-3.3.1-r0.apk | 439487 | 2024-Oct-25 19:06 |
qstardict-2.0.2-r1.apk | 440098 | 2024-Nov-24 05:46 |
font-stix-ttf-2.13-r0.apk | 440357 | 2024-Oct-25 19:07 |
hyfetch-1.99.0-r1.apk | 443304 | 2024-Oct-25 19:07 |
ocaml-uuidm-tools-0.9.8-r2.apk | 443769 | 2024-Oct-25 19:08 |
ocaml-jsonm-tools-1.0.2-r0.apk | 445513 | 2024-Oct-25 19:07 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 447179 | 2024-Oct-25 19:08 |
exabgp-4.2.22-r0.apk | 447927 | 2024-Nov-30 10:47 |
libsigrok-0.5.2-r3.apk | 448044 | 2024-Oct-25 19:07 |
mmtc-0.3.2-r0.apk | 448475 | 2024-Oct-25 19:07 |
spice-html5-0.3.0-r1.apk | 448977 | 2024-Oct-25 19:08 |
syncthing-gtk-0.9.4.5-r2.apk | 450509 | 2024-Oct-25 19:08 |
xsane-lang-0.999-r2.apk | 450898 | 2024-Oct-25 19:09 |
timeshift-24.06.5-r0.apk | 451564 | 2024-Dec-05 20:47 |
ocaml-otoml-1.0.5-r0.apk | 454341 | 2024-Oct-25 19:07 |
mailutils-libs-3.18-r0.apk | 455443 | 2025-Jan-09 05:56 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 456617 | 2024-Oct-25 19:08 |
py3-telegram-bot-21.7-r0.apk | 456906 | 2024-Dec-01 17:08 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 457561 | 2024-Oct-25 19:07 |
ocaml-cairo2-dev-0.6.2-r2.apk | 459518 | 2024-Oct-25 19:07 |
lomiri-clock-app-lang-4.1.0-r0.apk | 459831 | 2024-Dec-02 11:45 |
log4cxx-1.1.0-r2.apk | 460330 | 2024-Dec-14 19:23 |
py3-flask-bootstrap-3.3.7.1-r8.apk | 460564 | 2024-Oct-25 19:08 |
ocaml-metrics-dev-0.4.0-r3.apk | 460647 | 2024-Oct-25 19:07 |
vcdimager-2.0.1-r5.apk | 460908 | 2025-Jan-25 07:04 |
lout-doc-3.42.2-r0.apk | 463394 | 2024-Oct-25 19:07 |
megazeux-doc-2.93b-r0.apk | 464590 | 2024-Oct-25 19:07 |
mapnik-dev-3.1.0-r29.apk | 464970 | 2024-Nov-08 00:05 |
libguestfs-static-1.52.0-r1.apk | 465043 | 2024-Oct-25 19:07 |
gr-satellites-5.5.0-r4.apk | 465455 | 2024-Dec-18 12:24 |
litehtml-static-0.9-r1.apk | 466524 | 2025-Jan-04 04:31 |
typstyle-0.12.14-r0.apk | 468721 | 2025-Jan-12 13:45 |
ocaml-alcotest-1.5.0-r4.apk | 471012 | 2024-Oct-25 19:07 |
startup-2.0.3-r5.apk | 471952 | 2024-Oct-25 19:08 |
ocaml-ocp-index-1.3.6-r0.apk | 472017 | 2024-Oct-25 19:07 |
ocaml-bos-0.2.1-r2.apk | 472419 | 2024-Oct-25 19:07 |
featherpad-lang-1.5.1-r0.apk | 473861 | 2024-Oct-25 19:07 |
gdcm-dev-3.0.24-r0.apk | 473871 | 2024-Oct-25 19:07 |
qtmir-0.7.2-r2.apk | 479372 | 2024-Oct-25 19:08 |
ocaml-cmdliner-1.1.1-r3.apk | 479758 | 2024-Oct-25 19:07 |
gutenprint-libs-5.3.4-r5.apk | 480984 | 2024-Oct-25 19:07 |
motion-lang-4.7.0-r0.apk | 482526 | 2024-Oct-25 19:07 |
php82-pdlib-1.1.0-r1.apk | 482745 | 2024-Oct-25 19:08 |
perl-html-object-doc-0.5.1-r0.apk | 483180 | 2024-Oct-25 19:08 |
mm-common-1.0.5-r0.apk | 484387 | 2024-Oct-25 19:07 |
nitro-2.7_beta8-r2.apk | 484798 | 2024-Oct-25 19:07 |
libmedc-python-pyc-4.1.1-r4.apk | 486847 | 2024-Oct-25 19:07 |
66-dev-0.8.0.1-r0.apk | 487162 | 2025-Jan-12 13:00 |
riemann-cli-0.8.0-r2.apk | 487187 | 2024-Oct-25 19:08 |
marxan-4.0.7-r1.apk | 487504 | 2024-Oct-25 19:07 |
opentelemetry-cpp-1.11.0-r5.apk | 492129 | 2024-Nov-11 17:30 |
chicago95-3.0.1_git20240619-r0.apk | 492650 | 2024-Oct-25 19:06 |
parse-changelog-0.6.8-r0.apk | 492737 | 2024-Oct-25 19:08 |
py3-scrapy-pyc-2.11.1-r1.apk | 493583 | 2024-Oct-25 19:08 |
pdm-pyc-2.18.2-r0.apk | 493915 | 2024-Oct-25 19:08 |
logwatch-7.10-r1.apk | 495066 | 2024-Oct-25 19:07 |
ocaml-sexplib-0.16.0-r0.apk | 497969 | 2024-Oct-25 19:08 |
netdiscover-0.10-r0.apk | 499174 | 2024-Oct-25 19:07 |
mint-x-theme-gtk2-2.1.1-r0.apk | 501637 | 2024-Oct-25 19:07 |
turn-rs-3.3.3-r0.apk | 501926 | 2025-Jan-07 06:28 |
ocaml-lwd-0.3-r0.apk | 501940 | 2024-Oct-25 19:07 |
supermin-5.2.2-r2.apk | 501978 | 2024-Oct-25 19:08 |
bore-0.5.2-r0.apk | 503723 | 2024-Dec-15 19:18 |
getting-things-gnome-doc-0.6-r4.apk | 509333 | 2024-Dec-08 21:36 |
visidata-pyc-2.11.1-r2.apk | 509343 | 2024-Oct-25 19:09 |
py3-openwisp-utils-1.0.4-r4.apk | 509579 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 512018 | 2024-Oct-25 19:08 |
jrsonnet-cli-0.4.2-r1.apk | 513589 | 2024-Oct-25 19:07 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 514305 | 2024-Oct-25 19:08 |
materia-dark-kde-plasma-20220823-r0.apk | 515006 | 2024-Oct-25 19:07 |
py3-imageio-pyc-2.35.1-r0.apk | 516532 | 2024-Oct-25 19:08 |
lomiri-download-manager-0.1.3-r4.apk | 518034 | 2025-Jan-26 01:34 |
tiny-0.13.0-r0.apk | 518550 | 2025-Jan-01 18:10 |
wayfire-plugins-extra-0.8.1-r1.apk | 520253 | 2024-Oct-25 19:09 |
bird3-3.0.0-r0.apk | 521010 | 2024-Dec-20 17:10 |
postgresql-pg_graphql-1.5.9-r0.apk | 522625 | 2024-Dec-25 18:58 |
mint-x-theme-gtk4-2.1.1-r0.apk | 522735 | 2024-Oct-25 19:07 |
cdist-7.0.0-r6.apk | 523251 | 2024-Oct-25 19:06 |
ovn-doc-24.03.1-r0.apk | 524212 | 2024-Oct-25 19:08 |
opendht-libs-3.1.11-r0.apk | 524393 | 2025-Jan-27 20:56 |
plots-0.7.0-r1.apk | 528096 | 2024-Nov-06 09:41 |
libretro-daphne-0_git20210108-r2.apk | 528617 | 2024-Oct-25 19:07 |
trace-cmd-dbg-3.3.1-r1.apk | 528634 | 2025-Jan-25 07:04 |
py3-apsw-pyc-3.47.2.0-r0.apk | 528759 | 2024-Dec-16 07:26 |
faust-static-2.60.3-r2.apk | 529411 | 2024-Oct-25 19:07 |
morph-browser-1.1.2-r0.apk | 529787 | 2025-Jan-26 01:34 |
ocaml-zed-3.1.0-r3.apk | 530871 | 2024-Oct-25 19:08 |
ocaml-re-1.11.0-r1.apk | 536182 | 2024-Oct-25 19:08 |
ocaml-otr-dev-0.3.10-r2.apk | 539610 | 2024-Oct-25 19:07 |
httrack-doc-3.49.2-r5.apk | 540626 | 2024-Oct-25 19:07 |
nsh-0.4.2-r1.apk | 541837 | 2024-Oct-25 19:07 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 541976 | 2024-Oct-25 19:07 |
gnome-latex-lang-3.47.0-r2.apk | 543054 | 2024-Dec-12 19:26 |
aspell-es-1.11-r0.apk | 545800 | 2024-Oct-25 19:05 |
rpg-cli-1.2.0-r0.apk | 545887 | 2024-Oct-25 19:08 |
xfe-lang-1.46.2-r0.apk | 546549 | 2024-Oct-25 19:09 |
ttyper-1.6.0-r0.apk | 547926 | 2025-Feb-05 21:45 |
kimchi-3.0.0-r7.apk | 548790 | 2024-Oct-25 19:07 |
ode-0.16.6-r0.apk | 549238 | 2025-Jan-25 07:04 |
ocaml-ounit-2.2.7-r3.apk | 549351 | 2024-Oct-25 19:07 |
ocaml-ocp-indent-1.8.2-r2.apk | 550629 | 2024-Oct-25 19:07 |
mkdocs-bootswatch-1.1-r5.apk | 551319 | 2024-Oct-25 19:07 |
lomiri-indicator-network-1.1.0-r0.apk | 552619 | 2025-Jan-10 10:07 |
mapserver-dev-8.4.0-r0.apk | 552775 | 2025-Jan-25 07:04 |
barman-pyc-3.12.1-r0.apk | 556167 | 2024-Dec-31 16:20 |
ocaml-xmlm-1.4.0-r2.apk | 560634 | 2024-Oct-25 19:08 |
py3-dbus-fast-2.24.4-r0.apk | 560746 | 2024-Nov-20 02:43 |
ocaml-conduit-dev-6.1.0-r0.apk | 562061 | 2024-Oct-25 19:07 |
esptool-pyc-4.8.1-r0.apk | 562523 | 2024-Oct-25 19:07 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 563434 | 2024-Oct-25 19:08 |
jaq-2.1.0-r0.apk | 564375 | 2025-Feb-05 22:08 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 564569 | 2024-Oct-25 19:07 |
ettercap-0.8.3.1-r3.apk | 564773 | 2024-Oct-25 19:07 |
platformio-core-pyc-6.1.7-r3.apk | 565021 | 2024-Oct-25 19:08 |
eva-0.3.1-r2.apk | 568063 | 2024-Oct-25 19:07 |
ocaml-biniou-1.2.1-r5.apk | 568621 | 2024-Oct-25 19:07 |
greetd-wlgreet-0.5.0-r0.apk | 569124 | 2024-Oct-25 19:07 |
libsemigroups-2.7.3-r1.apk | 571707 | 2024-Dec-14 19:23 |
libguestfs-doc-1.52.0-r1.apk | 573260 | 2024-Oct-25 19:07 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 573392 | 2024-Oct-25 19:08 |
libretro-bluemsx-0_git20240808-r0.apk | 574330 | 2024-Oct-25 19:07 |
postgresql-pg_later-0.0.14-r1.apk | 574685 | 2024-Oct-25 19:08 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 575640 | 2024-Oct-25 19:07 |
php81-mbstring-8.1.31-r0.apk | 575706 | 2024-Nov-20 02:43 |
orage-4.20.0-r0.apk | 577939 | 2025-Feb-01 17:06 |
felix-2.16.0-r0.apk | 579637 | 2025-Feb-05 22:02 |
rygel-lang-0.44.1-r0.apk | 580797 | 2024-Dec-01 12:57 |
py3-textual-0.87.1-r0.apk | 580999 | 2024-Dec-07 20:22 |
font-tiresias-0_git20200704-r0.apk | 581904 | 2024-Oct-25 19:07 |
perl-libintl-perl-doc-1.35-r0.apk | 585132 | 2025-Jan-16 13:35 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 587596 | 2024-Oct-25 19:07 |
belle-sip-5.3.83-r0.apk | 589287 | 2024-Oct-25 19:05 |
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk | 589555 | 2024-Oct-30 05:03 |
ocaml-tsdl-dev-1.0.0-r0.apk | 589606 | 2024-Oct-25 19:08 |
boinc-dev-7.24.3-r0.apk | 592250 | 2024-Oct-25 19:05 |
java-gdcm-3.0.24-r0.apk | 593101 | 2024-Oct-25 19:07 |
ocaml-bitstring-dev-4.1.0-r3.apk | 593358 | 2024-Oct-25 19:07 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 593533 | 2024-Oct-25 19:08 |
gnu-apl-dev-1.9-r0.apk | 594114 | 2024-Oct-25 19:07 |
ocaml-erm_xml-0_git20211229-r2.apk | 595474 | 2024-Oct-25 19:07 |
pari-2.17.1-r0.apk | 597278 | 2024-Dec-24 17:12 |
xonsh-0.19.1-r0.apk | 598690 | 2025-Feb-05 22:11 |
bonzomatic-20230615-r0.apk | 601202 | 2024-Oct-25 19:06 |
lumins-0.4.0-r2.apk | 603115 | 2024-Oct-25 19:07 |
moosefs-static-3.0.117-r2.apk | 605905 | 2024-Oct-25 19:07 |
dbus-waiter-0.2.0-r0.apk | 606138 | 2024-Oct-25 19:06 |
py3-beartype-pyc-0.19.0-r0.apk | 609796 | 2024-Oct-25 19:08 |
uranium-5.2.2-r3.apk | 609835 | 2024-Oct-25 19:09 |
ruby-yard-0.9.37-r0.apk | 609900 | 2024-Oct-25 19:08 |
kondo-0.8-r0.apk | 610414 | 2024-Oct-25 19:07 |
gufw-24.04-r3.apk | 610792 | 2024-Nov-20 02:43 |
ocaml-fileutils-dev-0.6.4-r2.apk | 612370 | 2024-Oct-25 19:07 |
gamja-1.0.0_beta9-r0.apk | 613556 | 2024-Oct-25 19:07 |
kalker-2.2.1-r0.apk | 614559 | 2024-Oct-25 19:07 |
paperde-0.2.1-r2.apk | 616185 | 2024-Oct-25 19:08 |
libmedc-4.1.1-r4.apk | 617008 | 2024-Oct-25 19:07 |
mint-x-theme-gtk3-2.1.1-r0.apk | 617964 | 2024-Oct-25 19:07 |
py3-aiosmb-0.4.11-r0.apk | 619078 | 2024-Oct-25 19:08 |
ocaml-amqp-client-2.3.0-r0.apk | 619890 | 2024-Oct-25 19:07 |
ocaml-notty-dev-0.2.3-r0.apk | 622476 | 2024-Oct-25 19:07 |
ppl-dev-1.2-r1.apk | 623245 | 2024-Oct-25 19:08 |
create-tauri-app-4.5.9-r0.apk | 624097 | 2024-Dec-25 22:07 |
ocaml-menhir-doc-20220210-r3.apk | 624485 | 2024-Dec-08 16:19 |
ocaml-uutf-1.0.3-r2.apk | 624726 | 2024-Oct-25 19:08 |
ocaml-gen-dev-1.1-r1.apk | 627436 | 2024-Oct-25 19:07 |
ocaml-topkg-1.0.5-r2.apk | 627945 | 2024-Oct-25 19:08 |
udpt-3.1.2-r0.apk | 635683 | 2024-Oct-25 19:09 |
ecasound-2.9.3-r3.apk | 636294 | 2024-Oct-25 19:07 |
font-chivo-mono-0_git20221110-r0.apk | 640886 | 2024-Oct-25 19:07 |
ocaml-down-0.1.0-r3.apk | 641742 | 2024-Oct-25 19:07 |
apache2-mod-perl-2.0.13-r1.apk | 643306 | 2024-Oct-25 19:05 |
sshs-4.6.1-r0.apk | 646413 | 2025-Jan-25 07:04 |
py3-cvxpy-1.2.1-r5.apk | 646741 | 2024-Oct-25 19:08 |
projectm-dev-3.1.12-r2.apk | 647040 | 2024-Oct-25 19:08 |
buildcache-0.28.9-r0.apk | 648268 | 2024-Oct-25 19:06 |
gutenprint-samples-5.3.4-r5.apk | 649627 | 2024-Oct-25 19:07 |
libretro-snes9x-0_git20240819-r0.apk | 650348 | 2024-Oct-25 19:07 |
ocaml-extlib-1.7.9-r2.apk | 652229 | 2024-Oct-25 19:07 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 653668 | 2024-Oct-25 19:07 |
libmdbx-0.11.8-r0.apk | 655052 | 2024-Oct-25 19:07 |
bobcat-4.09.00-r0.apk | 655219 | 2024-Oct-25 19:05 |
featherpad-1.5.1-r0.apk | 658327 | 2024-Oct-25 19:07 |
mkdocs-gitbook-0.0.1-r5.apk | 659593 | 2024-Oct-25 19:07 |
nextpnr-generic-0.7-r0.apk | 665985 | 2024-Oct-25 19:07 |
castor-0.9.0-r2.apk | 666053 | 2024-Oct-25 19:06 |
mkdocs-cluster-0.0.9-r5.apk | 666765 | 2024-Oct-25 19:07 |
font-material-icons-4.0.0-r0.apk | 667499 | 2024-Oct-25 19:07 |
azpainter-3.0.9-r0.apk | 670725 | 2024-Oct-25 19:05 |
mint-y-theme-gtk2-2.1.1-r0.apk | 671889 | 2024-Oct-25 19:07 |
mpdris2-rs-0.2.3-r0.apk | 673177 | 2024-Oct-25 19:07 |
py3-gdcm-3.0.24-r0.apk | 674356 | 2024-Oct-25 19:08 |
nicotine-plus-lang-3.3.7-r0.apk | 676793 | 2024-Dec-16 14:21 |
mdbook-alerts-0.7.0-r0.apk | 683854 | 2025-Jan-11 12:08 |
ocaml-qcheck-0.18.1-r3.apk | 684068 | 2024-Oct-25 19:08 |
zsh-histdb-skim-0.8.6-r0.apk | 685651 | 2024-Oct-25 19:09 |
perl-gtk2-doc-1.24993-r6.apk | 686482 | 2024-Oct-25 19:08 |
agate-3.3.8-r0.apk | 689162 | 2024-Oct-25 19:05 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 689271 | 2024-Oct-25 19:08 |
yices2-libs-2.6.4-r0.apk | 690300 | 2024-Oct-25 19:09 |
firehol-doc-3.1.7-r2.apk | 690787 | 2024-Oct-25 19:07 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 691413 | 2024-Oct-25 19:08 |
yaru-sounds-23.10.0-r1.apk | 691835 | 2024-Dec-01 02:28 |
font-monocraft-4.0-r0.apk | 692993 | 2024-Oct-25 19:07 |
ocaml-higlo-dev-0.9-r0.apk | 693346 | 2024-Oct-25 19:07 |
ocaml-cohttp-5.3.1-r0.apk | 693596 | 2024-Oct-25 19:07 |
pitivi-lang-2023.03-r2.apk | 694549 | 2024-Dec-23 01:13 |
rpi-imager-1.9.0-r0.apk | 696355 | 2024-Oct-25 19:08 |
pacparser-1.4.5-r1.apk | 696534 | 2024-Oct-25 19:08 |
gutenprint-static-5.3.4-r5.apk | 701370 | 2024-Oct-25 19:07 |
openvpn3-dev-3.8.5-r0.apk | 702755 | 2024-Oct-25 19:08 |
twiggy-0.6.0-r3.apk | 704359 | 2024-Oct-25 19:09 |
sudo-ldap-1.9.14-r1.apk | 708292 | 2024-Oct-25 19:08 |
smile-2.9.5-r0.apk | 710359 | 2024-Oct-25 19:08 |
rygel-0.44.1-r0.apk | 710986 | 2024-Dec-01 12:57 |
bobcat-dev-4.09.00-r0.apk | 712578 | 2024-Oct-25 19:05 |
eiwd-2.22-r0.apk | 713822 | 2024-Oct-25 19:07 |
pitivi-pyc-2023.03-r2.apk | 716963 | 2024-Dec-23 01:13 |
dlib-19.24.4-r0.apk | 722761 | 2024-Oct-25 19:06 |
pypykatz-pyc-0.6.10-r0.apk | 724678 | 2024-Oct-25 19:08 |
ocaml-cstruct-dev-6.1.0-r3.apk | 726287 | 2024-Oct-25 19:07 |
video-trimmer-0.9.0-r0.apk | 727613 | 2024-Oct-25 19:09 |
py3-telegram-bot-pyc-21.7-r0.apk | 727762 | 2024-Dec-01 17:08 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0..> | 729737 | 2024-Oct-25 19:08 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1..> | 729831 | 2024-Oct-25 19:07 |
getting-things-gnome-0.6-r4.apk | 732079 | 2024-Dec-08 21:36 |
httrack-3.49.2-r5.apk | 733775 | 2024-Oct-25 19:07 |
libabigail-2.3-r0.apk | 734002 | 2024-Oct-25 19:07 |
simp1e-cursors-solarized-0_git20211003-r0.apk | 734624 | 2024-Oct-25 19:08 |
draco-1.5.7-r1.apk | 736131 | 2024-Oct-25 19:07 |
qtile-pyc-0.23.0-r2.apk | 741638 | 2024-Oct-25 19:08 |
ocaml-gettext-dev-0.4.2-r3.apk | 742140 | 2024-Oct-25 19:07 |
yaru-theme-mate-23.10.0-r1.apk | 744828 | 2024-Dec-01 02:28 |
py3-slixmpp-pyc-1.8.5-r2.apk | 746992 | 2024-Oct-25 19:08 |
tsung-1.8.0-r2.apk | 747672 | 2024-Oct-25 19:09 |
hunspell-ca-es-3.0.7-r0.apk | 748803 | 2024-Oct-25 19:07 |
komikku-pyc-1.67.0-r0.apk | 749291 | 2025-Jan-12 13:00 |
dnssec-tools-2.2.3-r12.apk | 750464 | 2024-Oct-25 19:06 |
yaru-shell-23.10.0-r1.apk | 753108 | 2024-Dec-01 02:28 |
rdedup-3.2.1-r5.apk | 754417 | 2024-Oct-25 19:08 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 755153 | 2024-Oct-25 19:05 |
dotenv-linter-3.3.0-r1.apk | 756680 | 2024-Oct-25 19:06 |
silc-client-1.1.11-r17.apk | 757899 | 2024-Oct-25 19:08 |
e16-1.0.30-r0.apk | 757972 | 2024-Nov-05 13:22 |
xwayland-satellite-0.5-r0.apk | 758092 | 2025-Jan-25 07:04 |
simp1e-cursors-0_git20211003-r0.apk | 758885 | 2024-Oct-25 19:08 |
weggli-0.2.4-r1.apk | 764789 | 2024-Oct-25 19:09 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 765669 | 2024-Oct-25 19:08 |
responder-3.1.5.0-r0.apk | 767987 | 2024-Oct-25 19:08 |
freshrss-doc-1.23.1-r1.apk | 769253 | 2024-Oct-25 19:07 |
jwt-cli-6.2.0-r0.apk | 769393 | 2024-Dec-14 18:18 |
git-graph-0.6.0-r0.apk | 769598 | 2024-Nov-25 23:38 |
android-translation-layer-dbg-0_git20250114-r0.apk | 774332 | 2025-Jan-16 09:05 |
yaru-theme-purple-23.10.0-r1.apk | 774616 | 2024-Dec-01 02:28 |
powder-toy-97.0.352-r1.apk | 774654 | 2024-Oct-25 19:08 |
leptosfmt-0.1.18-r0.apk | 776610 | 2024-Oct-25 19:07 |
rtw89-src-7_p20230725-r0.apk | 776947 | 2024-Oct-25 19:08 |
yaru-theme-olive-23.10.0-r1.apk | 777336 | 2024-Dec-01 02:28 |
yaru-theme-viridian-23.10.0-r1.apk | 777850 | 2024-Dec-01 02:28 |
yaru-theme-prussiangreen-23.10.0-r1.apk | 777911 | 2024-Dec-01 02:28 |
yaru-theme-red-23.10.0-r1.apk | 778632 | 2024-Dec-01 02:28 |
maxima-doc-5.47.0-r8.apk | 779208 | 2024-Oct-25 19:07 |
yaru-theme-magenta-23.10.0-r1.apk | 779634 | 2024-Dec-01 02:28 |
yaru-theme-sage-23.10.0-r1.apk | 780747 | 2024-Dec-01 02:28 |
ocaml-utop-dev-2.9.1-r4.apk | 781067 | 2024-Oct-25 19:08 |
yaru-theme-bark-23.10.0-r1.apk | 781214 | 2024-Dec-01 02:28 |
lumina-desktop-coreutils-1.6.2-r0.apk | 782440 | 2024-Oct-25 19:07 |
yaru-theme-blue-23.10.0-r1.apk | 782914 | 2024-Dec-01 02:28 |
py3-livestream-2.1.0-r0.apk | 784628 | 2024-Nov-25 22:22 |
hurlfmt-6.0.0-r0.apk | 785325 | 2024-Dec-07 20:18 |
mml-1.0.0-r0.apk | 785537 | 2024-Oct-25 19:07 |
ocaml-iri-dev-1.0.0-r0.apk | 788900 | 2024-Oct-25 19:07 |
faust-dev-2.60.3-r2.apk | 788992 | 2024-Oct-25 19:07 |
libretro-fuse-0_git20220417-r0.apk | 789003 | 2024-Oct-25 19:07 |
git-cola-pyc-4.11.0-r0.apk | 792269 | 2025-Feb-01 17:06 |
tk9-9.0.1-r0.apk | 793010 | 2024-Dec-22 06:12 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 795410 | 2024-Oct-25 19:08 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 796275 | 2024-Oct-25 19:07 |
xendmail-0.4.3-r0.apk | 797946 | 2024-Oct-25 19:09 |
simp1e-cursors-dark-0_git20211003-r0.apk | 798413 | 2024-Oct-25 19:08 |
ocaml-otoml-dev-1.0.5-r0.apk | 799184 | 2024-Oct-25 19:07 |
nicotine-plus-pyc-3.3.7-r0.apk | 799749 | 2024-Dec-16 14:21 |
rezolus-2.11.1-r3.apk | 802768 | 2024-Oct-25 19:08 |
kmscon-9.0.0-r0.apk | 804024 | 2024-Oct-25 19:07 |
dune-deps-1.3.0-r2.apk | 805429 | 2024-Oct-25 19:07 |
critcl-doc-3.3.1-r0.apk | 806194 | 2024-Oct-25 19:06 |
hstdb-2.1.0-r2.apk | 806236 | 2024-Oct-25 19:07 |
perl-gtk2-1.24993-r6.apk | 806241 | 2024-Oct-25 19:08 |
mkdocs-bootstrap386-0.0.2-r5.apk | 810242 | 2024-Oct-25 19:07 |
tealdeer-1.7.1-r0.apk | 810524 | 2024-Dec-14 18:13 |
fulcrum-1.9.8-r1.apk | 810831 | 2024-Oct-25 19:07 |
font-chivo-0_git20221110-r0.apk | 811372 | 2024-Oct-25 19:07 |
otrs-doc-6.0.48-r2.apk | 814222 | 2024-Oct-25 19:08 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 814854 | 2024-Oct-25 19:07 |
repowerd-2023.07-r2.apk | 816023 | 2024-Oct-25 19:08 |
nixpacks-0.1.7-r1.apk | 818758 | 2024-Oct-25 19:07 |
php81-pecl-mongodb-1.20.1-r0.apk | 819063 | 2024-Nov-27 16:48 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 819823 | 2024-Oct-25 19:08 |
barrier-2.4.0-r1.apk | 824192 | 2024-Oct-25 19:05 |
serie-0.4.3-r0.apk | 824373 | 2025-Feb-04 17:20 |
libretro-parallel-n64-0_git20220406-r0.apk | 824432 | 2024-Oct-25 19:07 |
py3-python-stdnum-1.20-r0.apk | 825050 | 2024-Oct-25 19:08 |
nmap-parse-output-doc-1.5.1-r0.apk | 826865 | 2024-Oct-25 19:07 |
pulseview-0.4.2-r8.apk | 827519 | 2024-Oct-25 19:08 |
pyradio-pyc-0.9.3.11-r0.apk | 829775 | 2024-Oct-25 19:08 |
sc-controller-pyc-0.5.0-r0.apk | 832588 | 2025-Jan-27 21:37 |
py3-apsw-3.47.2.0-r0.apk | 833561 | 2024-Dec-16 07:26 |
emacs-helm-3.9.7_git20240329-r0.apk | 834710 | 2024-Oct-25 19:07 |
yeti-1.1-r0.apk | 835908 | 2024-Oct-25 19:09 |
gutenprint-5.3.4-r5.apk | 837016 | 2024-Oct-25 19:07 |
libantlr4-dev-4.13.2-r0.apk | 837829 | 2024-Dec-12 12:29 |
mdbook-plantuml-0.8.0-r0.apk | 838666 | 2024-Oct-25 19:07 |
ocaml-alcotest-dev-1.5.0-r4.apk | 840686 | 2024-Oct-25 19:07 |
ocaml-sexplib-dev-0.16.0-r0.apk | 841424 | 2024-Oct-25 19:08 |
planner-lang-0.14.92-r1.apk | 844370 | 2024-Dec-08 21:36 |
py3-mbedtls-2.10.1-r2.apk | 844902 | 2024-Oct-25 19:08 |
cimg-3.4.1-r0.apk | 845797 | 2024-Oct-25 19:06 |
qsstv-9.5.8-r2.apk | 846009 | 2024-Oct-25 19:08 |
lomiri-system-settings-lang-1.3.0-r0.apk | 846134 | 2025-Jan-10 10:07 |
geonames-0.3.1-r2.apk | 846139 | 2024-Oct-25 19:07 |
oils-for-unix-0.26.0-r1.apk | 846860 | 2025-Feb-04 17:20 |
py3-beartype-0.19.0-r0.apk | 847175 | 2024-Oct-25 19:08 |
simp1e-cursors-snow-0_git20211003-r0.apk | 847805 | 2024-Oct-25 19:08 |
rust-script-0.35.0-r0.apk | 848106 | 2024-Oct-27 16:30 |
halp-0.2.0-r0.apk | 849635 | 2024-Oct-25 19:07 |
ocaml-x509-0.16.0-r2.apk | 855597 | 2024-Oct-25 19:08 |
font-fira-code-6.2-r0.apk | 856069 | 2024-Oct-25 19:07 |
plib-1.8.5-r3.apk | 856271 | 2024-Oct-25 19:08 |
yaru-theme-23.10.0-r1.apk | 859655 | 2024-Dec-01 02:28 |
cherrytree-lang-1.2.0-r3.apk | 865929 | 2024-Dec-18 12:24 |
lizardfs-master-3.13.0-r14.apk | 870211 | 2024-Dec-03 14:30 |
ttdl-4.8.0-r0.apk | 870424 | 2025-Feb-01 17:06 |
ocaml-ctypes-dev-0.20.1-r2.apk | 870884 | 2024-Oct-25 19:07 |
font-katex-0.16.2-r0.apk | 871996 | 2024-Oct-25 19:07 |
gufw-lang-24.04-r3.apk | 875100 | 2024-Nov-20 02:43 |
lomiri-trust-store-2.0.2-r6.apk | 876871 | 2024-Oct-25 19:07 |
simp1e-cursors-breeze-0_git20211003-r0.apk | 877677 | 2024-Oct-25 19:08 |
ddnrs-0.3.0-r0.apk | 879538 | 2024-Oct-25 19:06 |
git-cola-4.11.0-r0.apk | 882126 | 2025-Feb-01 17:06 |
cddlib-doc-0.94m-r2.apk | 884442 | 2024-Oct-25 19:06 |
qucs-s-lang-1.1.0-r1.apk | 885279 | 2024-Oct-25 19:08 |
snapper-0.12.0-r0.apk | 886784 | 2024-Nov-20 02:43 |
libretro-theodore-3.1-r0.apk | 887080 | 2024-Oct-25 19:07 |
py3-pyglet-2.1.0-r0.apk | 891124 | 2025-Jan-12 09:01 |
pyradio-0.9.3.11-r0.apk | 891699 | 2024-Oct-25 19:08 |
yazi-cli-0.4.2-r0.apk | 892932 | 2024-Dec-20 16:09 |
spacer-0.3.0-r0.apk | 895277 | 2024-Dec-24 22:51 |
ocaml-ctypes-0.20.1-r2.apk | 896601 | 2024-Oct-25 19:07 |
advancemame-menu-3.9-r4.apk | 897160 | 2024-Oct-25 19:05 |
keepassxc-browser-1.8.9-r0.apk | 897469 | 2024-Oct-25 19:07 |
boinc-lang-7.24.3-r0.apk | 897976 | 2024-Oct-25 19:06 |
fox-1.6.57-r0.apk | 899120 | 2024-Oct-25 19:07 |
soqt-doc-1.6.3-r0.apk | 902316 | 2024-Dec-13 20:44 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 902477 | 2024-Oct-25 19:07 |
kannel-dev-1.5.0-r11.apk | 902921 | 2024-Oct-25 19:07 |
APKINDEX.tar.gz | 907030 | 2025-Feb-06 23:52 |
bochs-2.8-r0.apk | 909731 | 2024-Oct-25 19:05 |
kodi-pvr-iptvsimple-20.11.0-r0.apk | 910121 | 2024-Oct-25 19:07 |
lomiri-telephony-service-0.6.0-r0.apk | 912343 | 2025-Jan-26 01:34 |
exabgp-pyc-4.2.22-r0.apk | 913081 | 2024-Nov-30 10:47 |
hwatch-0.3.11-r0.apk | 915380 | 2024-Oct-25 19:07 |
please-0.5.5-r0.apk | 915812 | 2024-Oct-25 19:08 |
stardict-3.0.6-r6.apk | 915867 | 2024-Oct-25 19:08 |
gloox-dev-1.0.28-r0.apk | 917177 | 2024-Oct-25 19:07 |
cliphist-0.6.1-r1.apk | 917592 | 2025-Jan-25 07:03 |
ocaml-menhir-dev-20220210-r3.apk | 919656 | 2024-Dec-08 16:19 |
wroomd-0.1.0-r0.apk | 919941 | 2024-Oct-25 19:09 |
synapse-bt-cli-1.0-r4.apk | 922254 | 2024-Oct-25 19:08 |
libppl_c-1.2-r1.apk | 922475 | 2024-Oct-25 19:07 |
lomiri-content-hub-doc-2.0.0-r0.apk | 922481 | 2024-Oct-25 19:07 |
laze-0.1.29-r0.apk | 924252 | 2025-Jan-26 23:20 |
kbs2-0.7.2-r3.apk | 937358 | 2024-Oct-25 19:07 |
timeshift-lang-24.06.5-r0.apk | 938678 | 2024-Dec-05 20:47 |
ocaml-tsdl-1.0.0-r0.apk | 941429 | 2024-Oct-25 19:08 |
spike-1.1.0-r0.apk | 941772 | 2024-Oct-25 19:08 |
homebank-lang-5.8.6-r0.apk | 941935 | 2025-Jan-05 23:12 |
milkytracker-1.04.00-r2.apk | 942857 | 2024-Oct-25 19:07 |
swi-prolog-xpce-9.2.9-r0.apk | 943962 | 2024-Dec-21 10:08 |
pari-doc-2.17.1-r0.apk | 945942 | 2024-Dec-24 17:12 |
hikari-2.3.3-r6.apk | 951386 | 2024-Oct-25 19:07 |
mdbook-admonish-1.18.0-r0.apk | 952098 | 2024-Dec-27 19:25 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0..> | 956549 | 2024-Oct-25 19:08 |
horust-0.1.7-r2.apk | 956813 | 2024-Oct-25 19:07 |
py3-cvxpy-pyc-1.2.1-r5.apk | 958545 | 2024-Oct-25 19:08 |
ocaml-parsexp-dev-0.16.0-r0.apk | 960405 | 2024-Oct-25 19:07 |
swhkd-1.2.1-r0.apk | 960565 | 2024-Oct-25 19:08 |
php81-dev-8.1.31-r0.apk | 961357 | 2024-Nov-20 02:43 |
tere-1.6.0-r0.apk | 962352 | 2024-Oct-25 19:08 |
sshsrv-1.0-r8.apk | 962818 | 2025-Jan-25 07:04 |
mkdocs-windmill-1.0.5-r4.apk | 966718 | 2024-Oct-25 19:07 |
draw-0.1.1-r9.apk | 972424 | 2025-Jan-25 07:03 |
kodi-inputstream-adaptive-21.4.10-r0.apk | 972763 | 2024-Oct-25 19:07 |
imgdiff-1.0.2-r22.apk | 977780 | 2025-Jan-25 07:03 |
libntl-11.5.1-r4.apk | 983728 | 2024-Oct-25 19:07 |
qpdfview-0.5-r2.apk | 988278 | 2025-Jan-29 19:44 |
yarn-berry-4.6.0-r0.apk | 993509 | 2025-Jan-07 07:02 |
postgresql-pg_partman-5.0.0-r0.apk | 993810 | 2024-Oct-25 19:08 |
xed-doc-3.8.2-r0.apk | 994153 | 2025-Feb-05 22:11 |
tangara-companion-0.4.3-r0.apk | 994248 | 2024-Dec-17 21:07 |
numbat-1.9.0-r0.apk | 997633 | 2024-Oct-25 19:07 |
ol-2.4-r0.apk | 997775 | 2024-Oct-25 19:08 |
ocaml-re-dev-1.11.0-r1.apk | 998053 | 2024-Oct-25 19:08 |
pest-language-server-0.3.9-r0.apk | 1010764 | 2024-Oct-25 19:08 |
kismet-logtools-0.202307.1-r4.apk | 1012557 | 2024-Nov-11 17:30 |
lomiri-system-settings-1.3.0-r0.apk | 1017028 | 2025-Jan-10 10:07 |
cargo-update-16.0.0-r0.apk | 1019312 | 2024-Dec-14 18:07 |
ginac-1.8.7-r1.apk | 1020385 | 2024-Oct-25 19:07 |
watchbind-0.2.1-r1.apk | 1021407 | 2024-Oct-25 19:09 |
lazymc-0.2.11-r0.apk | 1023107 | 2024-Oct-25 19:07 |
boinc-gui-7.24.3-r0.apk | 1024907 | 2024-Oct-25 19:06 |
synapse-bt-1.0-r4.apk | 1025927 | 2024-Oct-25 19:08 |
font-comic-neue-doc-2.51-r0.apk | 1028161 | 2024-Oct-25 19:07 |
crun-vm-0.3.0-r0.apk | 1029891 | 2024-Nov-12 11:34 |
wlroots0.12-dbg-0.12.0-r1.apk | 1034999 | 2024-Oct-25 19:09 |
cargo-chef-0.1.71-r0.apk | 1036089 | 2025-Jan-29 23:01 |
brial-1.2.11-r4.apk | 1036271 | 2024-Oct-25 19:06 |
gearman-dev-1.1.21-r1.apk | 1037101 | 2024-Oct-25 19:07 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1037661 | 2024-Oct-25 19:08 |
cluster-glue-dev-1.0.12-r5.apk | 1038634 | 2024-Oct-25 19:06 |
ouch-0.5.1-r0.apk | 1040049 | 2024-Oct-25 19:08 |
ocaml-lwd-dev-0.3-r0.apk | 1043466 | 2024-Oct-25 19:07 |
atools-go-0.2.1-r2.apk | 1044554 | 2025-Jan-25 07:03 |
komikku-1.67.0-r0.apk | 1045397 | 2025-Jan-12 13:00 |
qflipper-gui-1.3.3-r1.apk | 1047310 | 2024-Oct-25 19:08 |
duf-0.8.1-r22.apk | 1048616 | 2025-Jan-25 07:03 |
ocaml-markup-1.0.3-r3.apk | 1048888 | 2024-Oct-25 19:07 |
dewduct-0.2.3-r0.apk | 1050299 | 2024-Oct-25 19:06 |
elementary-photos-lang-8.0.1-r0.apk | 1052065 | 2024-Dec-02 22:59 |
libigraph-0.10.15-r0.apk | 1053645 | 2024-Nov-20 02:43 |
cutechess-1.3.1-r0.apk | 1054781 | 2024-Oct-25 19:06 |
filite-0.3.0-r2.apk | 1055185 | 2024-Oct-25 19:07 |
xonsh-pyc-0.19.1-r0.apk | 1064574 | 2025-Feb-05 22:11 |
ocfs2-tools-1.8.7-r4.apk | 1065433 | 2024-Oct-25 19:08 |
rime-ls-0.4.1-r0.apk | 1065458 | 2024-Dec-25 15:40 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1067220 | 2024-Oct-25 19:07 |
tailspin-3.0.0-r0.apk | 1067434 | 2024-Oct-25 19:08 |
ocaml-yojson-2.1.2-r0.apk | 1075149 | 2024-Oct-25 19:08 |
elementary-photos-8.0.1-r0.apk | 1076192 | 2024-Dec-02 22:59 |
sturmreader-3.7.2-r1.apk | 1076773 | 2025-Jan-29 19:44 |
ktx-4.3.2-r0.apk | 1081652 | 2024-Oct-25 19:07 |
gosu-1.17-r6.apk | 1086048 | 2025-Jan-25 07:03 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1089196 | 2024-Dec-21 10:08 |
yaru-icon-theme-bark-23.10.0-r1.apk | 1091146 | 2024-Dec-01 02:28 |
megazeux-2.93b-r0.apk | 1093194 | 2024-Oct-25 19:07 |
pulsar-client-cpp-3.1.2-r4.apk | 1093795 | 2024-Oct-25 19:08 |
ocaml-ounit-dev-2.2.7-r3.apk | 1094046 | 2024-Oct-25 19:07 |
xed-3.8.2-r0.apk | 1096475 | 2025-Feb-05 22:11 |
supersonik-0.1.0-r1.apk | 1097608 | 2024-Dec-23 01:13 |
greetd-regreet-0.2.0-r0.apk | 1100117 | 2025-Jan-07 18:21 |
quodlibet-4.6.0-r1.apk | 1101298 | 2024-Oct-25 19:08 |
mdbook-katex-0.9.2-r0.apk | 1101356 | 2025-Jan-26 01:34 |
ocaml-obuild-0.1.11-r0.apk | 1103469 | 2024-Oct-25 19:07 |
yaru-icon-theme-olive-23.10.0-r1.apk | 1104621 | 2024-Dec-01 02:28 |
yaru-icon-theme-magenta-23.10.0-r1.apk | 1107724 | 2024-Dec-01 02:28 |
poke-4.2-r0.apk | 1108380 | 2024-Oct-25 19:08 |
speedcrunch-0.12-r3.apk | 1112825 | 2024-Oct-25 19:08 |
gamescope-3.16.1-r0.apk | 1112906 | 2025-Jan-10 15:33 |
htslib-tools-1.19-r0.apk | 1114631 | 2024-Oct-25 19:07 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1115881 | 2024-Oct-25 19:07 |
yaru-icon-theme-viridian-23.10.0-r1.apk | 1116091 | 2024-Dec-01 02:28 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1117797 | 2024-Oct-25 19:08 |
yaru-icon-theme-prussiangreen-23.10.0-r1.apk | 1118722 | 2024-Dec-01 02:28 |
libmysofa-tools-1.3.2-r0.apk | 1121698 | 2024-Oct-25 19:07 |
tartube-pyc-2.5.0-r1.apk | 1123630 | 2024-Oct-25 19:08 |
fava-1.28-r0.apk | 1125062 | 2024-Oct-25 19:07 |
sing-geosite-20250124154827-r0.apk | 1129839 | 2025-Feb-04 17:20 |
limnoria-20240828-r0.apk | 1130317 | 2024-Oct-25 19:07 |
yaru-icon-theme-blue-23.10.0-r1.apk | 1132071 | 2024-Dec-01 02:28 |
py3-aiosmb-pyc-0.4.11-r0.apk | 1133552 | 2024-Oct-25 19:08 |
listenbrainz-mpd-2.3.8-r0.apk | 1134072 | 2024-Oct-25 19:07 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1138486 | 2024-Oct-25 19:07 |
cargo-machete-0.7.0-r0.apk | 1139621 | 2024-Dec-28 18:15 |
wireguard-go-0.0.20230223-r8.apk | 1139851 | 2025-Jan-25 07:04 |
yaru-icon-theme-sage-23.10.0-r1.apk | 1140715 | 2024-Dec-01 02:28 |
ocp-indent-1.8.2-r2.apk | 1140833 | 2024-Oct-25 19:08 |
up-0.4-r25.apk | 1140962 | 2025-Jan-25 07:04 |
repgrep-0.15.0-r0.apk | 1142204 | 2024-Oct-25 19:08 |
flawz-0.3.0-r0.apk | 1142670 | 2024-Nov-03 21:06 |
draco-tools-1.5.7-r1.apk | 1145661 | 2024-Oct-25 19:07 |
sciteco-2.3.0-r0.apk | 1146499 | 2024-Dec-29 08:41 |
cargo-flamegraph-0.6.7-r0.apk | 1147367 | 2025-Jan-13 11:49 |
ocaml-uucp-dev-14.0.0-r2.apk | 1148435 | 2024-Oct-25 19:08 |
py3-textual-pyc-0.87.1-r0.apk | 1154108 | 2024-Dec-07 20:22 |
yaru-icon-theme-purple-23.10.0-r1.apk | 1154653 | 2024-Dec-01 02:28 |
mailtutan-0.3.0-r0.apk | 1154805 | 2024-Oct-25 19:07 |
hctl-0.2.6-r0.apk | 1159126 | 2024-Oct-25 19:07 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1161222 | 2024-Oct-25 19:08 |
yaru-icon-theme-red-23.10.0-r1.apk | 1161612 | 2024-Dec-01 02:28 |
go-mtpfs-1.0.0-r23.apk | 1166487 | 2025-Jan-25 07:03 |
mypaint-pyc-2.0.1-r1.apk | 1176306 | 2024-Oct-25 19:07 |
prjtrellis-1.4-r2.apk | 1178662 | 2024-Oct-25 19:08 |
lizardfs-client-3.13.0-r14.apk | 1180064 | 2024-Dec-03 14:30 |
circuslinux-data-1.0.3-r1.apk | 1181487 | 2024-Oct-25 19:06 |
ocaml-zed-dev-3.1.0-r3.apk | 1182156 | 2024-Oct-25 19:08 |
ocaml-uunf-14.0.0-r2.apk | 1194763 | 2024-Oct-25 19:08 |
beancount-language-server-1.3.6-r0.apk | 1196200 | 2024-Nov-05 23:38 |
emulationstation-2.11.2-r1.apk | 1196533 | 2024-Oct-25 19:07 |
cln-dev-1.3.7-r0.apk | 1199643 | 2024-Oct-25 19:06 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1201441 | 2024-Oct-25 19:08 |
ecasound-dev-2.9.3-r3.apk | 1201641 | 2024-Oct-25 19:07 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1212512 | 2024-Oct-25 19:08 |
gimp-plugin-gmic-3.3.5-r1.apk | 1214229 | 2024-Nov-21 23:02 |
sqlmap-pyc-1.9-r0.apk | 1217465 | 2025-Jan-13 22:49 |
quodlibet-lang-4.6.0-r1.apk | 1231557 | 2024-Oct-25 19:08 |
rustscan-2.3.0-r0.apk | 1234178 | 2024-Oct-25 19:08 |
piping-server-0.18.0-r0.apk | 1236482 | 2024-Oct-25 19:08 |
pegasus-frontend-16_alpha-r0.apk | 1240831 | 2024-Oct-25 19:08 |
goawk-1.29.1-r1.apk | 1243021 | 2025-Jan-25 07:03 |
lomiri-ui-toolkit-1.3.5110-r0.apk | 1245201 | 2025-Jan-10 10:07 |
mypaint-lang-2.0.1-r1.apk | 1248143 | 2024-Oct-25 19:07 |
youtube-tui-0.8.0-r0.apk | 1248281 | 2024-Oct-25 19:09 |
ktx-libs-4.3.2-r0.apk | 1251009 | 2024-Oct-25 19:07 |
py3-onnxruntime-pyc-1.19.2-r3.apk | 1251660 | 2024-Dec-25 19:50 |
sydbox-3.21.3-r0.apk | 1252223 | 2024-Oct-25 19:08 |
dcmtk-3.6.9-r0.apk | 1252580 | 2025-Jan-25 07:03 |
frescobaldi-pyc-3.3.0-r1.apk | 1253337 | 2024-Oct-25 19:07 |
gpsbabel-1.9.0-r0.apk | 1260787 | 2024-Oct-25 19:07 |
ocaml-lwt-5.7.0-r0.apk | 1261633 | 2024-Oct-25 19:07 |
ocaml-tcpip-7.1.2-r3.apk | 1264242 | 2024-Oct-25 19:08 |
mailutils-mh-3.18-r0.apk | 1264374 | 2025-Jan-09 05:56 |
compiz-lang-0.9.14.2-r7.apk | 1265346 | 2024-Nov-22 18:51 |
orage-lang-4.20.0-r0.apk | 1267691 | 2025-Feb-01 17:06 |
ckb-next-0.6.0-r1.apk | 1269034 | 2024-Oct-25 19:06 |
hfst-3.16.0-r2.apk | 1273070 | 2024-Oct-25 19:07 |
limnoria-pyc-20240828-r0.apk | 1274957 | 2024-Oct-25 19:07 |
gnu-apl-1.9-r0.apk | 1278171 | 2024-Oct-25 19:07 |
wlroots0.15-dbg-0.15.1-r6.apk | 1282460 | 2024-Oct-25 19:09 |
mpv-sponsorblock-2.1.0-r0.apk | 1288520 | 2024-Oct-25 19:07 |
mapserver-8.4.0-r0.apk | 1292066 | 2025-Jan-25 07:04 |
kgraphviewer-2.5.0-r0.apk | 1294184 | 2024-Oct-25 19:07 |
dartaotruntime-3.6.0-r0.apk | 1294357 | 2024-Dec-14 22:08 |
octoprint-pyc-1.10.3-r0.apk | 1297614 | 2024-Dec-25 22:04 |
makeclapman-2.4.4-r1.apk | 1299880 | 2025-Jan-25 07:04 |
fpc-doc-3.2.2-r4.apk | 1301575 | 2024-Oct-25 19:07 |
yaru-icon-theme-mate-23.10.0-r1.apk | 1301850 | 2024-Dec-01 02:28 |
ocaml-tls-0.15.3-r4.apk | 1303848 | 2024-Oct-25 19:08 |
swig3-3.0.12-r3.apk | 1304408 | 2024-Oct-25 19:08 |
primesieve-dev-12.6-r0.apk | 1305350 | 2024-Dec-14 18:13 |
sciteco-gtk-2.3.0-r0.apk | 1307429 | 2024-Dec-29 08:41 |
baikal-0.10.1-r0.apk | 1310290 | 2024-Nov-10 17:06 |
genact-1.4.2-r0.apk | 1311101 | 2024-Oct-25 19:07 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1323861 | 2024-Oct-25 19:07 |
sc-controller-0.5.0-r0.apk | 1324327 | 2025-Jan-27 21:37 |
libarb-2.23.0-r2.apk | 1327092 | 2024-Oct-25 19:07 |
ocaml-menhir-20220210-r3.apk | 1332943 | 2024-Dec-08 16:19 |
snippets-ls-0.0.4_git20240617-r1.apk | 1335946 | 2025-Jan-25 07:04 |
rathole-0.5.0-r0.apk | 1336212 | 2024-Oct-25 19:08 |
walk-1.10.0-r1.apk | 1337635 | 2025-Jan-25 07:04 |
dufs-0.43.0-r0.apk | 1342898 | 2025-Jan-25 07:03 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1346339 | 2024-Oct-25 19:08 |
libabigail-dev-2.3-r0.apk | 1347182 | 2024-Oct-25 19:07 |
ocaml-extlib-dev-1.7.9-r2.apk | 1347606 | 2024-Oct-25 19:07 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1348643 | 2024-Oct-25 19:07 |
drogon-1.9.4-r1.apk | 1354044 | 2024-Oct-25 19:07 |
qgis-grass-3.34.15-r0.apk | 1355261 | 2025-Jan-25 07:04 |
wlroots0.16-dbg-0.16.2-r0.apk | 1361148 | 2024-Oct-28 13:47 |
noggin-0.1-r13.apk | 1365984 | 2025-Jan-25 07:04 |
xfe-1.46.2-r0.apk | 1366404 | 2024-Oct-25 19:09 |
libsemigroups-static-2.7.3-r1.apk | 1372179 | 2024-Dec-14 19:23 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1378401 | 2024-Oct-25 19:07 |
dstask-0.26-r10.apk | 1379523 | 2025-Jan-25 07:03 |
ocaml-camomile-1.0.2-r3.apk | 1380080 | 2024-Oct-25 19:07 |
vile-9.8z-r0.apk | 1381943 | 2024-Oct-25 19:09 |
flann-dev-1.9.2-r0.apk | 1384062 | 2024-Oct-25 19:07 |
lowjs-1.6.2-r2.apk | 1384488 | 2024-Oct-25 19:07 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1384769 | 2024-Oct-25 19:08 |
tk9-doc-9.0.1-r0.apk | 1388532 | 2024-Dec-22 06:12 |
asymptote-2.91-r0.apk | 1388784 | 2024-Oct-25 19:05 |
kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1394362 | 2024-Oct-25 19:07 |
keystone-0.9.2-r6.apk | 1400356 | 2024-Oct-25 19:07 |
mobroute-doc-0.9.0-r1.apk | 1401416 | 2025-Jan-25 07:04 |
singular-doc-4.4.1-r0.apk | 1401948 | 2025-Feb-06 04:42 |
sblg-doc-0.5.11-r0.apk | 1410345 | 2024-Oct-25 19:08 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1411014 | 2024-Oct-25 19:08 |
ijq-1.1.0-r4.apk | 1417889 | 2025-Jan-25 07:03 |
eboard-1.1.3-r1.apk | 1421305 | 2024-Oct-25 19:07 |
py3-pysequoia-0.1.20-r3.apk | 1424204 | 2024-Oct-25 19:08 |
py3-pyglm-2.7.3-r0.apk | 1434954 | 2024-Nov-06 09:41 |
wiki-tui-0.8.2-r1.apk | 1438464 | 2024-Oct-25 19:09 |
lout-3.42.2-r0.apk | 1439294 | 2024-Oct-25 19:07 |
chim-1.1.2-r1.apk | 1441137 | 2024-Oct-25 19:06 |
tcl9-doc-9.0.1-r0.apk | 1442518 | 2024-Dec-22 06:12 |
ffsend-0.2.76-r4.apk | 1443998 | 2024-Oct-25 19:07 |
gnucash-doc-5.10-r0.apk | 1444100 | 2024-Dec-27 19:25 |
nextpnr-gowin-0.7-r0.apk | 1454305 | 2024-Oct-25 19:07 |
legume-1.4.2-r5.apk | 1455589 | 2025-Jan-25 07:04 |
ocaml-uri-4.2.0-r2.apk | 1463137 | 2024-Oct-25 19:08 |
oil-0.21.0-r0.apk | 1469676 | 2024-Oct-25 19:08 |
mir-2.15.0-r4.apk | 1488948 | 2024-Oct-25 19:07 |
py3-tokenizers-0.21.0-r0.apk | 1489379 | 2024-Nov-27 19:54 |
chamo-byte-4.0-r0.apk | 1493793 | 2024-Oct-25 19:06 |
mame-lang-0.251-r0.apk | 1495443 | 2024-Oct-25 19:07 |
lutgen-0.11.2-r0.apk | 1506050 | 2024-Oct-25 19:07 |
nwg-bar-0.1.6-r6.apk | 1509810 | 2025-Jan-25 07:04 |
watershot-0.2.0-r0.apk | 1512586 | 2024-Oct-25 19:09 |
apmpkg-1.5.1-r3.apk | 1515792 | 2024-Oct-25 19:05 |
openocd-riscv-0_git20230104-r2.apk | 1522921 | 2024-Oct-25 19:08 |
runst-0.1.7-r0.apk | 1523622 | 2024-Oct-25 19:08 |
libntl-static-11.5.1-r4.apk | 1523935 | 2024-Oct-25 19:07 |
raspberrypi-usbboot-20210701-r3.apk | 1524159 | 2024-Oct-25 19:08 |
mage-1.13.0-r19.apk | 1533564 | 2025-Jan-25 07:04 |
fheroes2-1.1.5-r0.apk | 1538800 | 2025-Jan-01 22:20 |
igrep-1.2.0-r0.apk | 1539390 | 2024-Oct-25 19:07 |
chocolate-doom-3.1.0-r0.apk | 1539672 | 2024-Oct-25 19:06 |
wlroots0.17-dbg-0.17.4-r1.apk | 1546514 | 2024-Nov-24 05:46 |
ocaml-labltk-dev-8.06.12-r2.apk | 1548041 | 2024-Oct-25 19:07 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1554947 | 2024-Oct-25 19:07 |
gmic-qt-3.3.5-r1.apk | 1555298 | 2024-Nov-21 23:02 |
xsane-0.999-r2.apk | 1563738 | 2024-Oct-25 19:09 |
armagetronad-0.2.9.1.1-r0.apk | 1568017 | 2024-Oct-25 19:05 |
nicotine-plus-3.3.7-r0.apk | 1570069 | 2024-Dec-16 14:21 |
hfst-libs-3.16.0-r2.apk | 1570091 | 2024-Oct-25 19:07 |
elementary-theme-8.1.0-r0.apk | 1571157 | 2025-Jan-12 20:34 |
ocaml-sedlex-dev-3.2-r0.apk | 1571711 | 2024-Oct-25 19:08 |
freshrss-themes-1.23.1-r1.apk | 1575976 | 2024-Oct-25 19:07 |
keystone-python-0.9.2-r6.apk | 1576255 | 2024-Oct-25 19:07 |
perl-minion-10.31-r0.apk | 1576799 | 2024-Oct-25 19:08 |
mdbook-mermaid-0.14.0-r0.apk | 1577454 | 2024-Dec-23 17:24 |
wl-gammarelay-0.1.1-r10.apk | 1584041 | 2025-Jan-25 07:04 |
pomo-0.8.1-r19.apk | 1593894 | 2025-Jan-25 07:04 |
freshrss-1.23.1-r1.apk | 1594221 | 2024-Oct-25 19:07 |
boinc-7.24.3-r0.apk | 1595296 | 2024-Oct-25 19:05 |
pipeline-2.1.1-r0.apk | 1597819 | 2025-Feb-04 17:20 |
fox-dev-1.6.57-r0.apk | 1600119 | 2024-Oct-25 19:07 |
empede-0.2.3-r0.apk | 1603467 | 2024-Oct-25 19:07 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1607206 | 2024-Oct-25 19:07 |
neocmakelsp-0.8.14-r0.apk | 1607444 | 2025-Jan-12 13:22 |
qgis-server-3.34.15-r0.apk | 1608129 | 2025-Jan-25 07:04 |
ocaml-x509-dev-0.16.0-r2.apk | 1608490 | 2024-Oct-25 19:08 |
eludris-0.3.3-r1.apk | 1613548 | 2024-Oct-25 19:07 |
pcl-dev-1.14.0-r2.apk | 1615030 | 2024-Oct-25 19:08 |
kjv-0_git20221103-r0.apk | 1615529 | 2024-Oct-25 19:07 |
flann-1.9.2-r0.apk | 1616035 | 2024-Oct-25 19:07 |
gobang-0.1.0_alpha5-r1.apk | 1618455 | 2024-Oct-25 19:07 |
simgear-2020.3.19-r1.apk | 1619276 | 2024-Oct-25 19:08 |
nwg-dock-0.3.9-r7.apk | 1619805 | 2025-Jan-25 07:04 |
aqemu-0.9.4-r3.apk | 1621465 | 2024-Oct-25 19:05 |
yamlfmt-0.15.0-r1.apk | 1624555 | 2025-Jan-25 07:04 |
glslviewer-3.2.4-r0.apk | 1625964 | 2024-Oct-25 19:07 |
goxel-0.15.1-r0.apk | 1627324 | 2024-Oct-25 19:07 |
siril-lang-1.2.6-r0.apk | 1629616 | 2025-Feb-04 17:20 |
draco-static-1.5.7-r1.apk | 1629628 | 2024-Oct-25 19:07 |
psi-plus-plugins-1.5.1965-r0.apk | 1630340 | 2024-Oct-25 19:08 |
gnu-apl-doc-1.9-r0.apk | 1632310 | 2024-Oct-25 19:07 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1633838 | 2024-Oct-25 19:07 |
antimicrox-3.4.0-r0.apk | 1635698 | 2024-Oct-25 19:05 |
voikko-fi-2.5-r0.apk | 1638402 | 2024-Oct-25 19:09 |
mkcert-1.4.4-r15.apk | 1638963 | 2025-Jan-25 07:04 |
amule-lang-2.3.3-r13.apk | 1644042 | 2024-Oct-25 19:05 |
openocd-git-0_git20240113-r1.apk | 1647145 | 2024-Oct-25 19:08 |
zydis-doc-4.1.0-r0.apk | 1652021 | 2024-Oct-25 19:09 |
py3-pyglet-pyc-2.1.0-r0.apk | 1656957 | 2025-Jan-12 09:01 |
scap-workbench-doc-1.2.1-r3.apk | 1664237 | 2024-Oct-25 19:08 |
ocaml-omake-0.10.6-r0.apk | 1683081 | 2024-Oct-25 19:07 |
moccasin-0.1.3-r0.apk | 1690640 | 2024-Oct-25 19:07 |
ats2-0.4.2-r0.apk | 1691756 | 2024-Oct-25 19:05 |
dcmtk-dev-3.6.9-r0.apk | 1697575 | 2025-Jan-25 07:03 |
sing-geoip-20250112-r0.apk | 1700755 | 2025-Jan-15 23:24 |
libarb-static-2.23.0-r2.apk | 1715216 | 2024-Oct-25 19:07 |
crispy-doom-7.0-r0.apk | 1715231 | 2024-Oct-25 19:06 |
hurl-6.0.0-r0.apk | 1725580 | 2024-Dec-07 20:18 |
libmedc-python-4.1.1-r4.apk | 1731194 | 2024-Oct-25 19:07 |
tcl9-9.0.1-r0.apk | 1733791 | 2024-Dec-22 06:12 |
spotify-tui-0.25.0-r2.apk | 1734130 | 2024-Oct-25 19:08 |
php81-embed-8.1.31-r0.apk | 1736435 | 2024-Nov-20 02:43 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1737837 | 2024-Oct-25 19:07 |
php81-apache2-8.1.31-r0.apk | 1741924 | 2024-Nov-20 02:43 |
daktilo-0.6.0-r0.apk | 1742029 | 2024-Oct-25 19:06 |
ocaml-yojson-dev-2.1.2-r0.apk | 1748534 | 2024-Oct-25 19:08 |
php81-cgi-8.1.31-r0.apk | 1750051 | 2024-Nov-20 02:43 |
openocd-esp32-0_git20230921-r5.apk | 1753315 | 2024-Oct-25 19:08 |
tui-journal-0.10.0-r0.apk | 1754952 | 2024-Oct-25 19:09 |
yubikey-agent-0.1.6-r8.apk | 1758380 | 2025-Jan-25 07:04 |
fheroes2-lang-1.1.5-r0.apk | 1760413 | 2025-Jan-01 22:20 |
php81-litespeed-8.1.31-r0.apk | 1761502 | 2024-Nov-20 02:43 |
noggin-model-lightweight-0.1-r0.apk | 1762338 | 2024-Oct-25 19:07 |
php81-8.1.31-r0.apk | 1775969 | 2024-Nov-20 02:43 |
xmpp-dns-0.2.4-r20.apk | 1776333 | 2025-Jan-25 07:04 |
brial-dev-1.2.11-r4.apk | 1778472 | 2024-Oct-25 19:06 |
c2rust-0.18.0-r1.apk | 1781766 | 2024-Oct-25 19:06 |
materia-kde-plasma-20220823-r0.apk | 1782946 | 2024-Oct-25 19:07 |
edward-1.1.0-r0.apk | 1784107 | 2024-Oct-25 19:07 |
antibody-6.1.1-r24.apk | 1785150 | 2025-Jan-25 07:03 |
fplll-strategies-5.5.0-r0.apk | 1792045 | 2024-Nov-20 02:43 |
android-apkeep-0.17.0-r0.apk | 1799127 | 2024-Oct-25 19:05 |
php81-phpdbg-8.1.31-r0.apk | 1815144 | 2024-Nov-20 02:43 |
trippy-0.12.2-r0.apk | 1818528 | 2025-Jan-04 22:29 |
php81-fpm-8.1.31-r0.apk | 1819969 | 2024-Nov-20 02:43 |
codeberg-cli-0.4.7-r0.apk | 1827535 | 2025-Jan-07 06:37 |
grcov-0.8.20-r0.apk | 1833669 | 2024-Nov-11 10:03 |
authenticator-rs-0.7.5-r0.apk | 1842475 | 2024-Oct-25 19:05 |
decoder-0.6.1-r0.apk | 1849324 | 2024-Oct-25 19:06 |
percona-toolkit-3.5.4-r1.apk | 1862141 | 2024-Oct-25 19:08 |
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk | 1866419 | 2024-Oct-30 05:03 |
nauty-libs-2.8.9-r0.apk | 1878211 | 2024-Oct-25 19:07 |
rook-0.2.0-r2.apk | 1881142 | 2025-Jan-25 07:04 |
tintin-2.02.31-r0.apk | 1884307 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1890454 | 2024-Oct-25 19:08 |
solarus-engine-1.7.0-r0.apk | 1891820 | 2024-Oct-25 19:08 |
yices2-2.6.4-r0.apk | 1893541 | 2024-Oct-25 19:09 |
rss-email-0.5.0-r0.apk | 1896713 | 2024-Oct-25 19:08 |
quodlibet-pyc-4.6.0-r1.apk | 1906992 | 2024-Oct-25 19:08 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1914032 | 2024-Oct-25 19:07 |
teapot-tools-0.4.2-r2.apk | 1916503 | 2024-Oct-25 19:08 |
ocaml-iri-1.0.0-r0.apk | 1928986 | 2024-Oct-25 19:07 |
homebank-5.8.6-r0.apk | 1930705 | 2025-Jan-05 23:12 |
so-0.4.10-r0.apk | 1936693 | 2024-Oct-25 19:08 |
hitide-0.15.0-r0.apk | 1942608 | 2024-Oct-25 19:07 |
protoc-gen-go-1.36.4-r0.apk | 1954030 | 2025-Feb-05 22:08 |
gutenprint-lang-5.3.4-r5.apk | 1957937 | 2024-Oct-25 19:07 |
efl-dev-1.27.0-r1.apk | 1959286 | 2024-Oct-25 19:07 |
netstandard21-targeting-pack-6.0.136-r1.apk | 1966392 | 2024-Nov-25 05:20 |
bitritter-0.1.1-r0.apk | 1969340 | 2024-Oct-25 19:05 |
cadence-0.9.2-r0.apk | 1971721 | 2024-Oct-25 19:06 |
py3-pyinstaller-6.6.0-r0.apk | 1973219 | 2024-Oct-25 19:08 |
cargo-generate-0.22.0-r1.apk | 1986946 | 2024-Nov-22 18:51 |
brltty-6.7-r0.apk | 1989353 | 2024-Oct-25 19:06 |
ocaml-atd-dev-2.15.0-r0.apk | 1991897 | 2024-Oct-25 19:07 |
metadata-cleaner-doc-2.5.6-r0.apk | 1994073 | 2025-Feb-01 17:06 |
vidcutter-pyc-6.0.5.3-r0.apk | 1996669 | 2024-Oct-25 19:09 |
steamguard-cli-0.9.6-r0.apk | 1998250 | 2024-Oct-25 19:08 |
lomiri-location-service-3.2.0-r0.apk | 1998763 | 2025-Jan-26 23:22 |
py3-pivy-0.6.9-r2.apk | 1999509 | 2024-Nov-24 05:46 |
primecount-dev-7.14-r0.apk | 2001158 | 2024-Oct-25 19:08 |
cocogitto-6.2.0-r1.apk | 2010903 | 2024-Dec-12 21:08 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0..> | 2024152 | 2024-Oct-25 19:08 |
tachyon-scenes-0.99_beta6-r1.apk | 2027039 | 2024-Oct-25 19:08 |
openfpgaloader-0.11.0-r0.apk | 2034733 | 2024-Oct-25 19:08 |
netsurf-3.11-r0.apk | 2035252 | 2024-Oct-25 19:07 |
musikcube-3.0.4-r1.apk | 2062941 | 2025-Jan-26 19:29 |
reaction-1.4.1-r2.apk | 2067145 | 2025-Jan-25 07:04 |
ergo-ldap-0.0.1-r13.apk | 2067818 | 2025-Jan-25 07:03 |
mesonlsp-4.3.7-r0.apk | 2070322 | 2024-Oct-25 19:07 |
aspnetcore6-targeting-pack-6.0.36-r1.apk | 2080804 | 2024-Nov-25 05:19 |
satellite-1.0.0-r24.apk | 2081107 | 2025-Jan-25 07:04 |
jackdaw-0.3.1-r1.apk | 2081389 | 2024-Oct-25 19:07 |
rizin-cutter-2.3.2-r2.apk | 2085533 | 2024-Oct-25 19:08 |
hpnssh-18.4.1-r0.apk | 2085888 | 2024-Oct-25 19:07 |
convert2json-1.1.2-r0.apk | 2089236 | 2024-Nov-30 11:17 |
goreman-0.3.15-r9.apk | 2096727 | 2025-Jan-25 07:03 |
fox-doc-1.6.57-r0.apk | 2111957 | 2024-Oct-25 19:07 |
certstrap-1.3.0-r19.apk | 2127354 | 2025-Jan-25 07:03 |
watchmate-0.5.2-r0.apk | 2139725 | 2024-Oct-25 19:09 |
font-stix-otf-2.13-r0.apk | 2139943 | 2024-Oct-25 19:07 |
crosstool-ng-1.27.0-r0.apk | 2141454 | 2025-Feb-06 04:42 |
swi-prolog-doc-9.2.9-r0.apk | 2162685 | 2024-Dec-21 10:08 |
font-monaspace-krypton-1.101-r0.apk | 2169078 | 2024-Oct-25 19:07 |
wayfire-0.8.1-r1.apk | 2173886 | 2024-Oct-25 19:09 |
xed-lang-3.8.2-r0.apk | 2177414 | 2025-Feb-05 22:11 |
ntpd-rs-1.4.0-r0.apk | 2183332 | 2024-Dec-14 19:23 |
bootloose-0.7.1-r7.apk | 2183635 | 2025-Jan-25 07:03 |
ocaml-markup-dev-1.0.3-r3.apk | 2193464 | 2024-Oct-25 19:07 |
monetdb-11.33.11-r4.apk | 2209614 | 2024-Oct-25 19:07 |
guetzli-dev-0_git20191025-r1.apk | 2220731 | 2024-Oct-25 19:07 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2236763 | 2024-Oct-25 19:08 |
klevernotes-1.1.0-r0.apk | 2236846 | 2024-Oct-25 19:07 |
rustdesk-server-1.1.10.3-r0.apk | 2237249 | 2024-Oct-25 19:08 |
font-monaspace-neon-1.101-r0.apk | 2239074 | 2024-Oct-25 19:07 |
wmi-client-1.3.16-r5.apk | 2250814 | 2024-Oct-25 19:09 |
hashcat-doc-6.2.6-r0.apk | 2253586 | 2024-Oct-25 19:07 |
cargo-expand-1.0.100-r0.apk | 2261226 | 2025-Jan-12 21:00 |
libretro-ppsspp-0_git20210516-r14.apk | 2266244 | 2024-Oct-25 19:07 |
vice-doc-3.8-r0.apk | 2282566 | 2024-Oct-25 19:09 |
avahi2dns-0.0.1_git20240102-r3.apk | 2285599 | 2025-Jan-25 07:03 |
bird3-dbg-3.0.0-r0.apk | 2291965 | 2024-Dec-20 17:10 |
secsipidx-libs-1.3.2-r8.apk | 2296122 | 2025-Jan-25 07:04 |
ircdog-0.5.4-r1.apk | 2299994 | 2025-Jan-25 07:04 |
py3-litex-hub-pythondata-software-compiler_rt-20..> | 2308334 | 2024-Oct-25 19:08 |
font-monaspace-argon-1.101-r0.apk | 2315693 | 2024-Oct-25 19:07 |
mame-tools-0.251-r0.apk | 2330632 | 2024-Oct-25 19:07 |
qownnotes-23.6.6-r0.apk | 2331623 | 2024-Oct-25 19:08 |
gotestsum-1.12.0-r3.apk | 2344365 | 2025-Jan-25 07:03 |
ocaml-dns-6.2.2-r3.apk | 2358122 | 2024-Oct-25 19:07 |
pgcat-1.2.0-r1.apk | 2379984 | 2025-Jan-01 17:37 |
docker-volume-local-persist-1.3.0-r29.apk | 2394543 | 2025-Jan-25 07:03 |
aprilsh-server-0.7.12-r1.apk | 2409603 | 2025-Jan-25 07:03 |
jami-qt-lang-20230925-r0.apk | 2409664 | 2024-Oct-25 19:07 |
gron-0.7.1-r20.apk | 2414119 | 2025-Jan-25 07:03 |
twinkle-1.10.3-r3.apk | 2424020 | 2025-Jan-04 21:55 |
dmarc-cat-0.15.0-r1.apk | 2437343 | 2025-Jan-25 07:03 |
htmldoc-1.9.20-r0.apk | 2438944 | 2024-Dec-10 15:23 |
aports-glmr-0.2-r24.apk | 2443535 | 2025-Jan-25 07:03 |
libmdbx-dbg-0.11.8-r0.apk | 2449023 | 2024-Oct-25 19:07 |
font-monaspace-xenon-1.101-r0.apk | 2452472 | 2024-Oct-25 19:07 |
tty-proxy-0.0.2-r24.apk | 2454878 | 2025-Jan-25 07:04 |
tangctl-0_git20241007-r0.apk | 2469184 | 2025-Jan-25 07:04 |
ocaml-tls-dev-0.15.3-r4.apk | 2476080 | 2024-Oct-25 19:08 |
normaliz-libs-3.10.4-r0.apk | 2478704 | 2024-Oct-30 13:43 |
mailsec-check-0_git20210729-r22.apk | 2480019 | 2025-Jan-25 07:04 |
rcon-cli-1.6.2-r7.apk | 2504434 | 2025-Jan-25 07:04 |
tmpl-0.4.0-r7.apk | 2505935 | 2025-Jan-25 07:04 |
copyq-9.1.0-r1.apk | 2511326 | 2024-Dec-12 17:44 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.0..> | 2521220 | 2024-Oct-25 19:08 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2528903 | 2024-Oct-25 19:08 |
k3sup-0.13.6-r1.apk | 2529463 | 2025-Jan-25 07:04 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2529915 | 2024-Oct-25 19:07 |
dlib-dev-19.24.4-r0.apk | 2546816 | 2024-Oct-25 19:06 |
linphone-libs-5.3.38-r0.apk | 2559794 | 2024-Oct-25 19:07 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2564870 | 2024-Oct-25 19:08 |
gtkwave-3.3.120-r0.apk | 2565146 | 2024-Oct-25 19:07 |
secsipidx-1.3.2-r8.apk | 2573880 | 2025-Jan-25 07:04 |
py3-seqdiag-3.0.0-r5.apk | 2581802 | 2024-Oct-25 19:08 |
pcl-1.14.0-r2.apk | 2586712 | 2024-Oct-25 19:08 |
netsurf-framebuffer-3.11-r0.apk | 2586911 | 2024-Oct-25 19:07 |
innernet-1.6.1-r0.apk | 2597594 | 2024-Oct-25 19:07 |
libretro-mame2000-0_git20240701-r0.apk | 2599167 | 2024-Oct-25 19:07 |
libgdcm-3.0.24-r0.apk | 2600718 | 2024-Oct-25 19:07 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2602511 | 2024-Oct-25 19:08 |
sos-0.8-r27.apk | 2603301 | 2025-Jan-25 07:04 |
ocaml-camomile-dev-1.0.2-r3.apk | 2604389 | 2024-Oct-25 19:07 |
sgt-puzzles-0_git20230310-r2.apk | 2605994 | 2024-Oct-25 19:08 |
nauty-dev-2.8.9-r0.apk | 2609784 | 2024-Oct-25 19:07 |
cherrytree-1.2.0-r3.apk | 2610526 | 2024-Dec-18 12:24 |
mcman-0.4.5-r0.apk | 2612393 | 2024-Oct-25 19:07 |
py3-blockdiag-tests-3.0.0-r6.apk | 2627088 | 2025-Jan-10 15:33 |
recyclarr-cli-7.4.0-r0.apk | 2630385 | 2024-Nov-25 14:09 |
coin-4.0.3-r0.apk | 2630978 | 2024-Dec-13 20:39 |
siril-1.2.6-r0.apk | 2647200 | 2025-Feb-04 17:20 |
sipexer-1.1.0-r9.apk | 2652596 | 2025-Jan-25 07:04 |
librespot-0.6.0-r0.apk | 2668414 | 2024-Nov-02 00:48 |
butane-0.22.0-r1.apk | 2689517 | 2025-Jan-25 07:03 |
simh-3.11.1-r1.apk | 2692377 | 2024-Oct-25 19:08 |
ripasso-cursive-0.6.5-r0.apk | 2696244 | 2024-Oct-25 19:08 |
recoll-1.37.5-r1.apk | 2733730 | 2024-Oct-25 19:08 |
rtl8812au-src-5.6.4.2_git20231103-r1.apk | 2733935 | 2025-Jan-05 21:12 |
consul-replicate-0.4.0-r27.apk | 2735724 | 2025-Jan-25 07:03 |
pyonji-0.1.0-r1.apk | 2737847 | 2025-Jan-25 07:04 |
android-translation-layer-0_git20250114-r0.apk | 2755141 | 2025-Jan-16 09:05 |
typos-1.23.2-r0.apk | 2756281 | 2024-Oct-25 19:09 |
hub-2.14.2-r27.apk | 2760820 | 2025-Jan-25 07:03 |
rizin-0.6.3-r1.apk | 2779772 | 2024-Oct-25 19:08 |
tonutils-reverse-proxy-0.3.3-r1.apk | 2782227 | 2025-Jan-25 07:04 |
msh-2.5.0-r8.apk | 2794815 | 2025-Jan-25 07:04 |
ocaml-omod-bin-0.0.3-r3.apk | 2806666 | 2024-Oct-25 19:07 |
pitivi-2023.03-r2.apk | 2812819 | 2024-Dec-23 01:13 |
wasm-tools-1.223.0-r0.apk | 2841315 | 2025-Jan-11 22:12 |
tartube-2.5.0-r1.apk | 2874300 | 2024-Oct-25 19:08 |
vym-2.9.26-r0.apk | 2874627 | 2024-Oct-25 19:09 |
font-monaspace-radon-1.101-r0.apk | 2878217 | 2024-Oct-25 19:07 |
mdcat-2.7.1-r0.apk | 2910280 | 2024-Dec-14 18:04 |
fceux-2.6.6-r2.apk | 2911873 | 2024-Oct-25 19:07 |
mailutils-dev-3.18-r0.apk | 2920465 | 2025-Jan-09 05:56 |
vidcutter-6.0.5.3-r0.apk | 2922964 | 2024-Oct-25 19:09 |
asymptote-doc-2.91-r0.apk | 2963368 | 2024-Oct-25 19:05 |
ocaml-labltk-8.06.12-r2.apk | 3012053 | 2024-Oct-25 19:07 |
suru-icon-theme-2024.10.13-r0.apk | 3044022 | 2025-Jan-26 01:34 |
jsonnet-bundler-0.6.0-r1.apk | 3089985 | 2025-Jan-25 07:04 |
gliderlabs-sigil-0.11.0-r1.apk | 3091595 | 2025-Jan-25 07:03 |
webhookd-1.20.1-r0.apk | 3092820 | 2025-Jan-30 17:01 |
aprilsh-client-0.7.12-r1.apk | 3094136 | 2025-Jan-25 07:03 |
py3-language-data-pyc-1.3.0-r0.apk | 3105404 | 2024-Dec-01 20:08 |
dockerize-0.9.0-r1.apk | 3119343 | 2025-Jan-25 07:03 |
ocaml-gitlab-0.1.8-r0.apk | 3151670 | 2024-Oct-25 19:07 |
qucs-s-1.1.0-r1.apk | 3174012 | 2024-Oct-25 19:08 |
octoprint-1.10.3-r0.apk | 3179030 | 2024-Dec-25 22:04 |
porla-0.41.0-r1.apk | 3201636 | 2024-Dec-05 20:55 |
kubeconform-0.6.6-r3.apk | 3244691 | 2025-Jan-25 07:04 |
dotnet6-targeting-pack-6.0.36-r1.apk | 3263533 | 2024-Nov-25 05:20 |
dstask-import-0.26-r10.apk | 3266877 | 2025-Jan-25 07:03 |
ocaml-lwt-dev-5.7.0-r0.apk | 3274002 | 2024-Oct-25 19:07 |
svls-0.2.12-r0.apk | 3279781 | 2024-Oct-25 19:08 |
khinsider-2.0.7-r16.apk | 3305027 | 2025-Jan-25 07:04 |
drawing-1.0.2-r0.apk | 3329478 | 2024-Oct-25 19:07 |
lotide-0.15.0-r0.apk | 3332438 | 2024-Oct-25 19:07 |
gobuster-3.6.0-r8.apk | 3360512 | 2025-Jan-25 07:03 |
hilbish-2.3.4-r1.apk | 3379090 | 2025-Jan-25 07:03 |
kapow-0.7.1-r9.apk | 3381837 | 2025-Jan-25 07:04 |
maildir2rss-0.0.7-r1.apk | 3382770 | 2025-Jan-25 07:04 |
symengine-0.12.0-r0.apk | 3387203 | 2024-Oct-25 19:08 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3413269 | 2024-Oct-25 19:07 |
gomp-1.0.0-r8.apk | 3440175 | 2025-Jan-25 07:03 |
drupal7-7.103-r0.apk | 3443841 | 2024-Dec-04 17:28 |
qgis-dev-3.34.15-r0.apk | 3450607 | 2025-Jan-25 07:04 |
alpine-lift-0.2.0-r19.apk | 3490808 | 2025-Jan-25 07:03 |
nsh-dbg-0.4.2-r1.apk | 3493401 | 2024-Oct-25 19:07 |
openttd-opengfx-7.1-r0.apk | 3511569 | 2024-Oct-25 19:08 |
prometheus-unbound-exporter-0.4.6-r1.apk | 3520260 | 2025-Jan-25 07:04 |
tty-share-2.4.0-r14.apk | 3529419 | 2025-Jan-25 07:04 |
certigo-1.16.0-r19.apk | 3531867 | 2025-Jan-25 07:03 |
ruuvi-prometheus-0.1.7-r6.apk | 3546042 | 2025-Jan-25 07:04 |
vym-doc-2.9.26-r0.apk | 3555345 | 2024-Oct-25 19:09 |
netscanner-0.5.1-r1.apk | 3559815 | 2024-Oct-25 19:07 |
stardict-help-3.0.6-r6.apk | 3560018 | 2024-Oct-25 19:08 |
ocaml-gettext-0.4.2-r3.apk | 3560872 | 2024-Oct-25 19:07 |
olab-0.1.8-r0.apk | 3566438 | 2024-Oct-25 19:08 |
lomiri-download-manager-doc-0.1.3-r4.apk | 3568131 | 2025-Jan-26 01:34 |
ghq-1.7.1-r1.apk | 3575772 | 2025-Jan-25 07:03 |
autorestic-1.8.3-r1.apk | 3597941 | 2025-Jan-25 07:03 |
ocaml-lambda-term-3.2.0-r4.apk | 3598204 | 2024-Oct-25 19:07 |
frescobaldi-3.3.0-r1.apk | 3645972 | 2024-Oct-25 19:07 |
openttd-lang-14.1-r0.apk | 3652811 | 2024-Oct-25 19:08 |
wabt-1.0.36-r0.apk | 3653106 | 2024-Oct-25 19:09 |
comics-downloader-0.33.8-r6.apk | 3679442 | 2025-Jan-25 07:03 |
speedtest_exporter-0.3.2-r11.apk | 3707601 | 2025-Jan-25 07:04 |
ocaml-containers-3.7-r2.apk | 3708679 | 2024-Oct-25 19:07 |
font-openmoji-15.0.0-r0.apk | 3721301 | 2024-Dec-24 22:52 |
openapi-tui-0.9.4-r1.apk | 3752120 | 2024-Oct-25 19:08 |
advancemame-mess-3.9-r4.apk | 3788334 | 2024-Oct-25 19:05 |
dsnet-0.7.3-r7.apk | 3801718 | 2025-Jan-25 07:03 |
pari-libs-2.17.1-r0.apk | 3845495 | 2024-Dec-24 17:12 |
ocaml-ppx_blob-0.8.0-r0.apk | 3855816 | 2024-Oct-25 19:08 |
tootik-0.15.2-r0.apk | 3863550 | 2025-Feb-04 17:20 |
rizin-libs-0.6.3-r1.apk | 3868854 | 2024-Oct-25 19:08 |
lomiri-gallery-app-3.0.2-r0.apk | 3873079 | 2024-Oct-25 19:07 |
ticker-4.8.0-r0.apk | 3890060 | 2025-Feb-05 23:19 |
wgcf-2.2.24-r1.apk | 3891681 | 2025-Jan-25 07:04 |
dotnet6-apphost-pack-6.0.36-r1.apk | 3898786 | 2024-Nov-25 05:19 |
invidtui-0.4.6-r1.apk | 3910363 | 2025-Jan-25 07:04 |
font-teluguvijayam-20190525-r2.apk | 3918439 | 2024-Oct-25 19:07 |
exercism-3.2.0-r8.apk | 3946966 | 2025-Jan-25 07:03 |
ocaml-lwt_ppx-5.7.0-r0.apk | 3949934 | 2024-Oct-25 19:07 |
libsymmetrica-3.0.1-r2.apk | 3966188 | 2024-Oct-25 19:07 |
phpactor-2024.06.30.0-r0.apk | 3980270 | 2024-Oct-25 19:08 |
prometheus-rethinkdb-exporter-1.0.1-r24.apk | 4009749 | 2025-Jan-25 07:04 |
dhewm3-1.5.2-r0.apk | 4011833 | 2024-Oct-25 19:06 |
mypaint-2.0.1-r1.apk | 4020042 | 2024-Oct-25 19:07 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 4022144 | 2024-Oct-25 19:07 |
jsonnet-language-server-0.15.0-r0.apk | 4023803 | 2025-Feb-05 21:35 |
lomiri-0.3.0-r0.apk | 4024951 | 2024-Oct-25 19:07 |
amule-2.3.3-r13.apk | 4030370 | 2024-Oct-25 19:05 |
lefthook-1.8.5-r1.apk | 4042837 | 2025-Jan-25 07:04 |
cargo-shuttle-0.52.0-r0.apk | 4046991 | 2025-Feb-04 22:02 |
otrs-dev-6.0.48-r2.apk | 4058537 | 2024-Oct-25 19:08 |
cortex-tenant-1.15.2-r2.apk | 4063192 | 2025-Jan-25 07:03 |
dive-0.12.0-r1.apk | 4064404 | 2025-Jan-25 07:03 |
jackline-0.1.0-r3.apk | 4068193 | 2024-Oct-25 19:07 |
gotify-cli-2.3.2-r1.apk | 4089422 | 2025-Jan-25 07:03 |
php81-pecl-couchbase-4.2.6-r0.apk | 4120954 | 2025-Jan-30 01:56 |
prometheus-ipmi-exporter-1.8.0-r1.apk | 4121336 | 2025-Jan-25 07:04 |
modem-manager-gui-doc-0.0.20-r0.apk | 4130236 | 2024-Oct-25 19:07 |
phoronix-test-suite-10.8.4-r2.apk | 4138346 | 2024-Oct-25 19:08 |
php81-pecl-grpc-1.70.0-r0.apk | 4160104 | 2025-Feb-06 23:52 |
manifest-tool-2.1.7-r1.apk | 4173155 | 2025-Jan-25 07:04 |
ocaml-bitstring-4.1.0-r3.apk | 4179155 | 2024-Oct-25 19:07 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4189208 | 2024-Oct-25 19:08 |
tanka-0.31.2-r0.apk | 4193685 | 2025-Feb-05 21:53 |
cargo-udeps-0.1.54-r0.apk | 4202876 | 2025-Jan-12 13:00 |
java-jtharness-6.0_p12-r0.apk | 4213403 | 2024-Oct-25 19:07 |
flint-2.9.0-r2.apk | 4230722 | 2024-Oct-25 19:07 |
geodns-logs-3.3.0-r9.apk | 4232973 | 2025-Jan-25 07:03 |
horizon-dbg-0.9.6-r9.apk | 4234767 | 2024-Oct-25 19:07 |
gmic-libs-3.3.5-r1.apk | 4243594 | 2024-Nov-21 23:02 |
py3-drf-yasg-1.21.7-r2.apk | 4248346 | 2024-Oct-25 19:08 |
yazi-0.4.2-r0.apk | 4252237 | 2024-Dec-20 16:09 |
mqtt2prometheus-0.1.7-r12.apk | 4256995 | 2025-Jan-25 07:04 |
i3status-rust-0.33.2-r0.apk | 4269864 | 2024-Nov-11 03:21 |
prometheus-opnsense-exporter-0.0.5-r1.apk | 4273274 | 2025-Jan-25 07:04 |
ocaml-cstruct-6.1.0-r3.apk | 4297712 | 2024-Oct-25 19:07 |
chamo-dev-4.0-r0.apk | 4314271 | 2024-Oct-25 19:06 |
muse-doc-4.2.1-r1.apk | 4314493 | 2024-Oct-25 19:07 |
ocaml-sedlex-3.2-r0.apk | 4315237 | 2024-Oct-25 19:08 |
acmetool-0.2.2-r9.apk | 4330581 | 2025-Jan-25 07:03 |
openocd-git-dbg-0_git20240113-r1.apk | 4351614 | 2024-Oct-25 19:08 |
mobroute-0.9.0-r1.apk | 4356301 | 2025-Jan-25 07:04 |
ocaml-dns-dev-6.2.2-r3.apk | 4358286 | 2024-Oct-25 19:07 |
meli-0.8.10-r0.apk | 4386509 | 2024-Dec-16 10:10 |
prometheus-smokeping-prober-0.7.1-r8.apk | 4396358 | 2025-Jan-25 07:04 |
prometheus-smartctl-exporter-0.13.0-r1.apk | 4423720 | 2025-Jan-25 07:04 |
reg-0.16.1-r24.apk | 4435042 | 2025-Jan-25 07:04 |
mir-dev-2.15.0-r4.apk | 4440034 | 2024-Oct-25 19:07 |
kubectl-krew-0.4.4-r8.apk | 4442845 | 2025-Jan-25 07:04 |
libsymmetrica-static-3.0.1-r2.apk | 4448941 | 2024-Oct-25 19:07 |
prometheus-bind-exporter-0.7.0-r7.apk | 4474023 | 2025-Jan-25 07:04 |
ocaml-uri-dev-4.2.0-r2.apk | 4475411 | 2024-Oct-25 19:08 |
atac-0.18.1-r0.apk | 4521185 | 2024-Nov-25 21:43 |
fq-0.13.0-r1.apk | 4538300 | 2025-Jan-25 07:03 |
projectm-presets-3.1.12-r2.apk | 4558399 | 2024-Oct-25 19:08 |
flare-engine-1.14-r0.apk | 4562159 | 2024-Oct-25 19:07 |
abc-0_git20240102-r0.apk | 4562470 | 2024-Oct-25 19:05 |
pdfcpu-0.9.1-r1.apk | 4565484 | 2025-Jan-25 07:04 |
arc-icon-theme-20161122-r0.apk | 4571266 | 2024-Oct-25 19:05 |
pspp-dbg-2.0.1-r0.apk | 4575601 | 2024-Oct-25 19:08 |
gx-0.14.3-r26.apk | 4579904 | 2025-Jan-25 07:03 |
templ-0.3.833-r0.apk | 4606675 | 2025-Feb-05 23:19 |
qownnotes-lang-23.6.6-r0.apk | 4619621 | 2024-Oct-25 19:08 |
fathom-1.3.1-r9.apk | 4641006 | 2025-Jan-25 07:03 |
envconsul-0.13.2-r9.apk | 4662422 | 2025-Jan-25 07:03 |
geodns-3.3.0-r9.apk | 4664810 | 2025-Jan-25 07:03 |
swi-prolog-9.2.9-r0.apk | 4667990 | 2024-Dec-21 10:08 |
yoshimi-doc-2.3.2-r0.apk | 4673343 | 2024-Oct-25 19:09 |
tauri-cli-1.6.2-r0.apk | 4684754 | 2024-Nov-01 00:03 |
tfupdate-0.8.2-r2.apk | 4690487 | 2025-Jan-25 07:04 |
singular-static-4.4.1-r0.apk | 4690703 | 2025-Feb-06 04:42 |
onnxruntime-1.19.2-r3.apk | 4718606 | 2024-Dec-25 19:50 |
incus-ui-canonical-0.14.6-r0.apk | 4736007 | 2025-Feb-04 17:20 |
secsipidx-dev-1.3.2-r8.apk | 4743698 | 2025-Jan-25 07:04 |
ssh-cert-authority-2.0.0-r22.apk | 4757437 | 2025-Jan-25 07:04 |
ocaml-bisect_ppx-2.8.3-r0.apk | 4767447 | 2024-Oct-25 19:07 |
geonames-lang-0.3.1-r2.apk | 4801100 | 2024-Oct-25 19:07 |
ocaml-base-0.16.3-r0.apk | 4805696 | 2024-Oct-25 19:07 |
nzbget-24.5-r0.apk | 4816897 | 2024-Dec-24 10:41 |
gx-go-1.9.0-r28.apk | 4831003 | 2025-Jan-25 07:03 |
conntracct-0.2.7-r27.apk | 4841101 | 2025-Jan-25 07:03 |
nauty-2.8.9-r0.apk | 4850483 | 2024-Oct-25 19:07 |
py3-litex-hub-pythondata-software-picolibc-2024...> | 4914194 | 2024-Oct-25 19:08 |
lychee-0.15.1-r0.apk | 4956088 | 2024-Oct-25 19:07 |
s5cmd-2.2.2-r6.apk | 5045021 | 2025-Jan-25 07:04 |
spacectl-1.0.0-r2.apk | 5124219 | 2025-Jan-25 07:04 |
ocaml-higlo-0.9-r0.apk | 5145501 | 2024-Oct-25 19:07 |
py3-nwdiag-3.0.0-r3.apk | 5155507 | 2024-Oct-25 19:08 |
autoscan-1.4.0-r7.apk | 5156912 | 2025-Jan-25 07:03 |
ocaml-ppx_deriving-5.3.0-r0.apk | 5182067 | 2024-Oct-25 19:08 |
kubectl-oidc_login-1.31.0-r1.apk | 5186355 | 2025-Jan-25 07:04 |
py3-language-data-1.3.0-r0.apk | 5191895 | 2024-Dec-01 20:08 |
cvise-2.8.0-r2.apk | 5210844 | 2024-Oct-25 19:06 |
scalingo-1.30.0-r6.apk | 5225536 | 2025-Jan-25 07:04 |
qtox-1.17.6-r6.apk | 5238572 | 2024-Oct-25 19:08 |
elementary-icon-theme-8.0.0-r0.apk | 5292699 | 2024-Oct-25 19:07 |
speedtest-go-1.1.5-r11.apk | 5301083 | 2025-Jan-25 07:04 |
ocaml-camomile-data-1.0.2-r3.apk | 5307932 | 2024-Oct-25 19:07 |
dustracing2d-2.1.1-r1.apk | 5309296 | 2024-Oct-25 19:07 |
ocaml-uucp-14.0.0-r2.apk | 5343374 | 2024-Oct-25 19:08 |
qbittorrent-cli-2.1.0-r1.apk | 5390168 | 2025-Jan-25 07:04 |
alps-0_git20230807-r8.apk | 5399456 | 2025-Jan-25 07:03 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 5431729 | 2024-Oct-25 19:07 |
gost-2.12.0-r1.apk | 5440934 | 2025-Jan-25 07:03 |
comics-downloader-gui-0.33.8-r6.apk | 5467421 | 2025-Jan-25 07:03 |
drone-cli-1.8.0-r6.apk | 5494128 | 2025-Jan-25 07:03 |
rattler-build-0.18.0-r0.apk | 5511324 | 2024-Oct-25 19:08 |
cargo-crev-0.25.9-r0.apk | 5529209 | 2024-Oct-25 19:06 |
sydbox-utils-3.21.3-r0.apk | 5537687 | 2024-Oct-25 19:08 |
compiz-0.9.14.2-r7.apk | 5552282 | 2024-Nov-22 18:51 |
termusic-mpv-0.7.11-r0.apk | 5617864 | 2024-Oct-25 19:08 |
virter-0.28.1-r1.apk | 5667125 | 2025-Jan-25 07:04 |
rustic-0.9.3-r0.apk | 5681600 | 2024-Oct-25 19:08 |
openttd-14.1-r0.apk | 5687030 | 2024-Oct-25 19:08 |
upterm-server-0.14.3-r1.apk | 5687076 | 2025-Jan-25 07:04 |
goshs-1.0.1-r1.apk | 5687451 | 2025-Jan-25 07:03 |
ocp-index-1.3.6-r0.apk | 5711789 | 2024-Oct-25 19:08 |
yoshimi-2.3.2-r0.apk | 5753784 | 2024-Oct-25 19:09 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5763835 | 2024-Oct-25 19:07 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.04..> | 5823452 | 2024-Oct-25 19:08 |
upterm-0.14.3-r1.apk | 5832579 | 2025-Jan-25 07:04 |
glow-2.0.0-r1.apk | 5851729 | 2025-Jan-25 07:03 |
muse-4.2.1-r1.apk | 5889729 | 2024-Oct-25 19:07 |
kannel-1.5.0-r11.apk | 5913864 | 2024-Oct-25 19:07 |
pict-rs-0.5.16-r1.apk | 6003095 | 2024-Oct-25 19:08 |
clementine-1.4.1_git20250121-r0.apk | 6016742 | 2025-Jan-26 19:29 |
go-jsonnet-0.20.0-r10.apk | 6053694 | 2025-Jan-25 07:03 |
advancemame-data-3.9-r4.apk | 6057085 | 2024-Oct-25 19:05 |
dotnet6-templates-6.0.136-r1.apk | 6127172 | 2024-Nov-25 05:20 |
ocamlnet-dev-4.1.9-r2.apk | 6163942 | 2024-Oct-25 19:08 |
ovn-24.03.1-r0.apk | 6230302 | 2024-Oct-25 19:08 |
fplll-libs-5.5.0-r0.apk | 6281714 | 2024-Nov-20 02:43 |
wf-shell-0.8.1-r0.apk | 6319907 | 2024-Oct-25 19:09 |
ocaml-stk-0.1.0-r0.apk | 6386420 | 2024-Oct-25 19:08 |
libdcmtk-3.6.9-r0.apk | 6430818 | 2025-Jan-25 07:04 |
fpc-stage0-3.2.2-r3.apk | 6469011 | 2024-Oct-25 19:07 |
mautrix-discord-0.7.2-r1.apk | 6475583 | 2025-Jan-25 07:04 |
mautrix-twitter-0.2.1-r1.apk | 6480147 | 2025-Jan-25 07:04 |
font-fira-ttf-4.202-r0.apk | 6496543 | 2024-Oct-25 19:07 |
telegram-bot-api-8.2-r0.apk | 6520997 | 2025-Jan-03 18:55 |
telegram-tdlib-1.8.42-r0.apk | 6532196 | 2025-Jan-03 19:10 |
chamo-4.0-r0.apk | 6548314 | 2024-Oct-25 19:06 |
zrepl-0.6.1-r7.apk | 6575766 | 2025-Jan-25 07:04 |
theforceengine-doc-1.09.540-r1.apk | 6631393 | 2024-Oct-25 19:08 |
go-passbolt-cli-0.3.1-r4.apk | 6667887 | 2025-Jan-25 07:03 |
libretro-mame2003-0_git20240904-r0.apk | 6692907 | 2024-Oct-25 19:07 |
gb-0.4.4-r27.apk | 6755311 | 2025-Jan-25 07:03 |
py3-flask-admin-1.6.1-r3.apk | 6838817 | 2024-Oct-25 19:08 |
grlx-sprout-1.0.5-r1.apk | 6868886 | 2025-Jan-25 07:03 |
nom-2.6.1-r1.apk | 6899800 | 2025-Jan-25 07:04 |
ocaml-atd-2.15.0-r0.apk | 6930049 | 2024-Oct-25 19:07 |
gambit-dev-4.9.5-r0.apk | 6930841 | 2024-Oct-25 19:07 |
theforceengine-1.09.540-r1.apk | 7045642 | 2024-Oct-25 19:08 |
ocaml-containers-dev-3.7-r2.apk | 7064466 | 2024-Oct-25 19:07 |
coccinelle-1.1.1-r2.apk | 7077347 | 2024-Oct-25 19:06 |
sqlmap-1.9-r0.apk | 7101099 | 2025-Jan-13 22:49 |
kompose-1.31.2-r6.apk | 7210664 | 2025-Jan-25 07:04 |
psst-0_git20240526-r1.apk | 7287537 | 2024-Oct-25 19:08 |
filebrowser-2.27.0-r7.apk | 7349083 | 2025-Jan-25 07:03 |
protoconf-0.1.7-r9.apk | 7422975 | 2025-Jan-25 07:04 |
desync-0.9.6-r1.apk | 7438341 | 2025-Jan-25 07:03 |
supercollider-3.13.0-r5.apk | 7507453 | 2024-Oct-25 19:08 |
font-fira-otf-4.202-r0.apk | 7737326 | 2024-Oct-25 19:07 |
kine-0.10.1-r9.apk | 7765255 | 2025-Jan-25 07:04 |
gambit-4.9.5-r0.apk | 7765430 | 2024-Oct-25 19:07 |
oauth2-proxy-7.6.0-r7.apk | 7863385 | 2025-Jan-25 07:04 |
sish-2.16.1-r1.apk | 7936963 | 2025-Jan-25 07:04 |
grpcurl-1.9.2-r1.apk | 7961837 | 2025-Jan-25 07:03 |
ocaml-cohttp-tools-5.3.1-r0.apk | 7964723 | 2024-Oct-25 19:07 |
azote-1.14.0-r0.apk | 7979169 | 2024-Dec-14 20:38 |
fplll-static-5.5.0-r0.apk | 8014870 | 2024-Nov-20 02:43 |
faust-2.60.3-r2.apk | 8109151 | 2024-Oct-25 19:07 |
pixi-0.24.2-r0.apk | 8144063 | 2024-Oct-25 19:08 |
crowdsec-splunk-plugin-1.6.4-r1.apk | 8182769 | 2025-Jan-25 07:03 |
crowdsec-sentinel-plugin-1.6.4-r1.apk | 8183692 | 2025-Jan-25 07:03 |
crowdsec-http-plugin-1.6.4-r1.apk | 8184382 | 2025-Jan-25 07:03 |
ocaml-ocf-0.8.0-r3.apk | 8188361 | 2024-Oct-25 19:07 |
crowdsec-slack-plugin-1.6.4-r1.apk | 8215698 | 2025-Jan-25 07:03 |
ocaml-lablgtk3-3.1.2-r3.apk | 8228901 | 2024-Oct-25 19:07 |
crowdsec-email-plugin-1.6.4-r1.apk | 8234836 | 2025-Jan-25 07:03 |
py3-okonomiyaki-2.0.0-r0.apk | 8270608 | 2024-Oct-25 19:08 |
glmark2-2023.01-r1.apk | 8284901 | 2024-Oct-25 19:07 |
tofutf-cli-0.10.0-r1.apk | 8304330 | 2025-Jan-25 07:04 |
gnucash-5.10-r0.apk | 8393406 | 2024-Dec-27 19:25 |
sc3-plugins-3.13.0-r1.apk | 8395498 | 2024-Oct-25 19:08 |
grpcui-1.4.2-r1.apk | 8402533 | 2025-Jan-25 07:03 |
mautrix-bluesky-0.1.0-r1.apk | 8551238 | 2025-Jan-25 07:04 |
gnucash-lang-5.10-r0.apk | 8558994 | 2024-Dec-27 19:25 |
psi-plus-1.5.1965-r0.apk | 8655181 | 2024-Oct-25 19:08 |
opcr-policy-0.3.0-r0.apk | 8666844 | 2025-Feb-05 22:08 |
texlab-5.19.0-r0.apk | 8811293 | 2024-Oct-25 19:08 |
py3-apicula-0.11.1-r1.apk | 8891837 | 2024-Oct-25 19:08 |
itd-1.1.0-r9.apk | 8922257 | 2025-Jan-25 07:04 |
aspnetcore6-runtime-6.0.36-r1.apk | 8978111 | 2024-Nov-25 05:19 |
tofutf-agent-0.10.0-r1.apk | 8981209 | 2025-Jan-25 07:04 |
cloudflared-2024.12.1-r1.apk | 9040049 | 2025-Jan-25 07:03 |
art_standalone-dev-0_git20250115-r0.apk | 9043995 | 2025-Jan-16 09:05 |
gdcm-doc-html-3.0.24-r0.apk | 9085484 | 2024-Oct-25 19:07 |
jfrog-cli-2.45.0-r8.apk | 9086275 | 2025-Jan-25 07:04 |
bomctl-0.1.9-r2.apk | 9112739 | 2025-Jan-25 07:03 |
git-bug-0.8.0-r15.apk | 9149324 | 2025-Jan-25 07:03 |
cc65-2.19-r0.apk | 9184245 | 2024-Oct-25 19:06 |
tenv-3.2.4-r3.apk | 9204134 | 2025-Jan-25 07:04 |
lumina-desktop-core-1.6.2-r0.apk | 9205275 | 2024-Oct-25 19:07 |
ocaml-xtmpl-0.19.0-r0.apk | 9275700 | 2024-Oct-25 19:08 |
linphone-5.3.38-r0.apk | 9428262 | 2024-Oct-25 19:07 |
piper-phonemize-2023.11.14.4-r5.apk | 9433405 | 2024-Oct-25 19:08 |
ppl-doc-1.2-r1.apk | 9529617 | 2024-Oct-25 19:08 |
pspp-2.0.1-r0.apk | 9559740 | 2024-Oct-25 19:08 |
libretro-fbneo-0_git20220416-r0.apk | 9590404 | 2024-Oct-25 19:07 |
maddy-0.7.1-r6.apk | 9613439 | 2025-Jan-25 07:04 |
singular-4.4.1-r0.apk | 9694289 | 2025-Feb-06 04:42 |
docker-auth-1.12.0-r1.apk | 9762023 | 2025-Jan-25 07:03 |
gotify-2.5.0-r2.apk | 9773051 | 2025-Jan-25 07:03 |
rio-0.2.5-r0.apk | 9850690 | 2025-Feb-04 17:20 |
regal-0.29.2-r1.apk | 9862487 | 2025-Jan-25 07:04 |
gortr-0.14.8-r9.apk | 9927989 | 2025-Jan-25 07:03 |
undock-0.9.0-r1.apk | 9936982 | 2025-Jan-25 07:04 |
stayrtr-0.6.1-r1.apk | 10005260 | 2025-Jan-25 07:04 |
dbmate-2.16.0-r1.apk | 10096344 | 2025-Jan-25 07:03 |
ocaml-base-dev-0.16.3-r0.apk | 10113592 | 2024-Oct-25 19:07 |
ko-0.17.1-r1.apk | 10171010 | 2025-Jan-25 07:04 |
ovn-dev-24.03.1-r0.apk | 10240630 | 2024-Oct-25 19:08 |
haxe-4.3.6-r0.apk | 10288887 | 2024-Nov-29 06:11 |
mangal-4.0.6-r14.apk | 10306972 | 2025-Jan-25 07:04 |
ocaml-stk-dev-0.1.0-r0.apk | 10371798 | 2024-Oct-25 19:08 |
py3-onnxruntime-1.19.2-r3.apk | 10393089 | 2024-Dec-25 19:50 |
openapi-validator-1.19.2-r0.apk | 10418370 | 2024-Oct-25 19:08 |
mapnik-3.1.0-r29.apk | 10444488 | 2024-Nov-08 00:05 |
maxima-doc-extra-5.47.0-r8.apk | 10517711 | 2024-Oct-25 19:07 |
chasquid-1.15.0-r0.apk | 10531449 | 2025-Jan-25 07:03 |
adguardhome-0.107.56-r0.apk | 10539484 | 2025-Jan-25 07:03 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10642018 | 2024-Oct-25 19:08 |
kubeseal-0.27.3-r1.apk | 10860566 | 2025-Jan-25 07:04 |
ocaml-dns-tools-6.2.2-r3.apk | 10955663 | 2024-Oct-25 19:07 |
jackal-0.64.0-r11.apk | 11344461 | 2025-Jan-25 07:04 |
helm-ls-0.0.12-r5.apk | 11362103 | 2025-Jan-25 07:03 |
haproxy-dataplaneapi-3.0.1-r1.apk | 11413143 | 2025-Jan-25 07:03 |
helm-unittest-0.7.1-r1.apk | 11516701 | 2025-Jan-25 07:03 |
openttd-opensfx-1.0.3-r0.apk | 11520012 | 2024-Oct-25 19:08 |
kismet-0.202307.1-r4.apk | 11595735 | 2024-Nov-11 17:30 |
vice-3.8-r0.apk | 11662813 | 2024-Oct-25 19:09 |
sing-box-1.11.0-r0.apk | 11696173 | 2025-Feb-04 17:20 |
gmic-3.3.5-r1.apk | 11825563 | 2024-Nov-21 23:02 |
ocaml-gitlab-dev-0.1.8-r0.apk | 12133893 | 2024-Oct-25 19:07 |
waifu2x-converter-cpp-5.3.4-r8.apk | 12149009 | 2025-Jan-16 22:06 |
linkquisition-1.6.1-r1.apk | 12151711 | 2025-Jan-25 07:04 |
advancemame-3.9-r4.apk | 12269605 | 2024-Oct-25 19:05 |
noggin-model-0.1-r0.apk | 12576154 | 2024-Oct-25 19:07 |
chicago95-icons-3.0.1_git20240619-r0.apk | 12587315 | 2024-Oct-25 19:06 |
tic-80-1.1.2837-r5.apk | 12621365 | 2024-Dec-27 22:24 |
regclient-0.7.1-r1.apk | 12744941 | 2025-Jan-25 07:04 |
gprbuild-22.0.0-r3.apk | 12878553 | 2024-Oct-25 19:07 |
tofutf-server-0.10.0-r1.apk | 13228538 | 2025-Jan-25 07:04 |
httpx-1.6.10-r0.apk | 13327976 | 2025-Jan-28 22:19 |
ocaml-ppxlib-0.32.0-r0.apk | 13366927 | 2024-Oct-25 19:08 |
katana-1.1.0-r5.apk | 13417257 | 2025-Jan-25 07:04 |
taskcafe-0.3.6-r9.apk | 13602311 | 2025-Jan-25 07:04 |
kube-no-trouble-0.7.3-r1.apk | 13771920 | 2025-Jan-25 07:04 |
grlx-farmer-1.0.5-r1.apk | 13847858 | 2025-Jan-25 07:03 |
utop-2.9.1-r4.apk | 13926227 | 2024-Oct-25 19:09 |
utop-full-2.9.1-r4.apk | 13930739 | 2024-Oct-25 19:09 |
jami-qt-20230925-r0.apk | 14085926 | 2024-Oct-25 19:07 |
gdcm-doc-pdf-3.0.24-r0.apk | 14286688 | 2024-Oct-25 19:07 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 14477572 | 2024-Oct-25 19:07 |
dnscontrol-4.16.0-r0.apk | 14598587 | 2025-Feb-06 22:58 |
prometheus-podman-exporter-1.13.3-r1.apk | 14743319 | 2025-Jan-25 07:04 |
grlx-1.0.5-r1.apk | 14749866 | 2025-Jan-25 07:03 |
merlin-4.14-r0.apk | 14952668 | 2024-Oct-25 19:07 |
dissent-0.0.32-r0.apk | 15361108 | 2025-Jan-25 07:03 |
virtctl-1.4.0-r1.apk | 15672926 | 2025-Jan-25 07:04 |
whatsie-4.16.3-r0.apk | 16011228 | 2025-Jan-12 13:00 |
ocaml-reason-3.8.2-r1.apk | 16051935 | 2024-Oct-25 19:08 |
kopia-0.18.2-r1.apk | 16304807 | 2025-Jan-25 07:04 |
kubepug-1.7.1-r6.apk | 16565740 | 2025-Jan-25 07:04 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 16905077 | 2024-Oct-25 19:08 |
pcl-libs-1.14.0-r2.apk | 16972687 | 2024-Oct-25 19:08 |
libretro-scummvm-0_git20210325-r0.apk | 17147548 | 2024-Oct-25 19:07 |
yosys-0.42-r0.apk | 17156529 | 2024-Oct-25 19:09 |
ocamlnet-4.1.9-r2.apk | 17293299 | 2024-Oct-25 19:08 |
icestorm-0_git20240517-r0.apk | 17321384 | 2024-Oct-25 19:07 |
faust-doc-2.60.3-r2.apk | 17500791 | 2024-Oct-25 19:07 |
keybase-client-6.2.8-r6.apk | 17984573 | 2025-Jan-25 07:04 |
hubble-cli-0.13.6-r1.apk | 18070193 | 2025-Jan-25 07:03 |
art_standalone-0_git20250115-r0.apk | 18123120 | 2025-Jan-16 09:05 |
stern-1.32.0-r0.apk | 18203013 | 2025-Feb-05 21:51 |
bestline-doc-0.0_git20211108-r0.apk | 18463004 | 2024-Oct-25 19:05 |
telegram-tdlib-static-1.8.42-r0.apk | 18774650 | 2025-Jan-03 19:10 |
lomiri-sounds-22.02-r0.apk | 18839103 | 2024-Oct-25 19:07 |
font-babelstone-han-15.1.3-r0.apk | 19204346 | 2024-Oct-25 19:07 |
reason-3.8.2-r1.apk | 19213382 | 2024-Oct-25 19:08 |
wtfutil-0.43.0-r9.apk | 19743164 | 2025-Jan-25 07:04 |
prowlarr-1.30.2.4939-r0.apk | 19863524 | 2025-Feb-01 17:10 |
py3-qgis-3.34.15-r0.apk | 20039414 | 2025-Jan-25 07:04 |
helm-mapkubeapis-0.5.2-r1.apk | 20043825 | 2025-Jan-25 07:03 |
mame-data-0.251-r0.apk | 20049129 | 2024-Oct-25 19:07 |
zafiro-icon-theme-1.3-r0.apk | 20169317 | 2024-Oct-25 19:09 |
rke-1.4.3-r11.apk | 20240760 | 2025-Jan-25 07:04 |
ombi-4.44.1-r0.apk | 20304589 | 2024-Oct-25 19:08 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r..> | 20402079 | 2024-Oct-25 19:08 |
lidarr-2.9.6.4552-r0.apk | 21344758 | 2025-Feb-04 17:20 |
helm-diff-3.9.13-r1.apk | 21631083 | 2025-Jan-25 07:03 |
maxima-5.47.0-r8.apk | 22208326 | 2024-Oct-25 19:07 |
avara-0.7.1-r1.apk | 22350972 | 2024-Nov-04 08:52 |
knative-client-1.17.0-r0.apk | 23455325 | 2025-Feb-05 22:08 |
mint-x-icons-1.7.2-r0.apk | 23566774 | 2024-Dec-24 10:07 |
angband-4.2.5-r0.apk | 23661536 | 2024-Oct-25 19:05 |
timoni-0.23.0-r1.apk | 23692351 | 2025-Jan-25 07:04 |
merlin-dev-4.14-r0.apk | 23726265 | 2024-Oct-25 19:07 |
seaweedfs-3.80-r1.apk | 23934165 | 2025-Jan-25 07:04 |
nsq-1.3.0-r6.apk | 24296749 | 2025-Jan-25 07:04 |
openra-20231010-r0.apk | 24429614 | 2024-Oct-25 19:08 |
reason-rtop-3.8.2-r1.apk | 25446717 | 2024-Oct-25 19:08 |
nextpnr-ecp5-0.7-r0.apk | 26550396 | 2024-Oct-25 19:07 |
kubeone-1.9.1-r1.apk | 26879005 | 2025-Jan-25 07:04 |
ovn-dbg-24.03.1-r0.apk | 27428896 | 2024-Oct-25 19:08 |
dotnet6-runtime-6.0.36-r1.apk | 27494286 | 2024-Nov-25 05:20 |
radarr-5.18.4.9674-r0.apk | 27880569 | 2025-Feb-04 17:20 |
cfssl-1.6.5-r1.apk | 27924089 | 2025-Jan-25 07:03 |
sonarr-4.0.12.2823-r0.apk | 28193268 | 2025-Jan-12 22:33 |
popeye-0.22.1-r0.apk | 28287539 | 2025-Feb-05 22:02 |
vals-0.39.0-r0.apk | 28727760 | 2025-Feb-05 21:58 |
thelounge-4.4.3-r0.apk | 29053233 | 2024-Oct-25 19:08 |
otrs-6.0.48-r2.apk | 30073386 | 2024-Oct-25 19:08 |
ocaml-reason-dev-3.8.2-r1.apk | 30167943 | 2024-Oct-25 19:08 |
spark-2.8.3-r1.apk | 30332813 | 2024-Oct-25 19:08 |
font-hanazono-20170904-r1.apk | 30352520 | 2024-Oct-25 19:07 |
stone-soup-0.32.1-r0.apk | 32283915 | 2024-Oct-25 19:08 |
qgis-lang-3.34.15-r0.apk | 32956385 | 2025-Jan-25 07:04 |
oxygen-icons-6.1.0-r0.apk | 33134388 | 2024-Oct-25 19:08 |
liquibase-4.9.1-r0.apk | 33161796 | 2024-Oct-25 19:07 |
crowdsec-1.6.4-r1.apk | 33815387 | 2025-Jan-25 07:03 |
zfs-src-2.2.4-r1.apk | 33987605 | 2025-Jan-09 11:46 |
stockfish-16-r0.apk | 34279947 | 2024-Oct-25 19:08 |
cdogs-sdl-2.1.0-r0.apk | 34881815 | 2024-Oct-25 19:06 |
efl-1.27.0-r1.apk | 35013121 | 2024-Oct-25 19:07 |
yaru-icon-theme-23.10.0-r1.apk | 36358404 | 2024-Dec-01 02:28 |
ma1sd-2.5.0-r3.apk | 39970136 | 2024-Oct-25 19:07 |
scratch-1.4.0.7-r1.apk | 41231749 | 2024-Oct-25 19:08 |
extremetuxracer-0.8.3-r0.apk | 41433514 | 2024-Oct-25 19:07 |
forgejo-lts-7.0.12-r0.apk | 42380443 | 2025-Jan-25 07:03 |
libmedc-doc-4.1.1-r4.apk | 42498589 | 2024-Oct-25 19:07 |
godot-templates-4.3-r3.apk | 42849803 | 2025-Jan-28 22:59 |
qgis-3.34.15-r0.apk | 45233508 | 2025-Jan-25 07:04 |
mame-mess-0.251-r0.apk | 46258788 | 2024-Oct-25 19:07 |
godot-4.3-r3.apk | 46779382 | 2025-Jan-28 22:59 |
helmfile-0.170.1-r0.apk | 47165314 | 2025-Feb-05 21:58 |
dart-3.6.0-r0.apk | 49586833 | 2024-Dec-14 22:08 |
starfighter-2.4-r0.apk | 50189752 | 2024-Oct-25 19:08 |
gutenprint-cups-5.3.4-r5.apk | 50984215 | 2024-Oct-25 19:07 |
hashcat-6.2.6-r0.apk | 54347649 | 2024-Oct-25 19:07 |
cilium-cli-0.16.13-r1.apk | 56045655 | 2025-Jan-25 07:03 |
trivy-0.58.1-r1.apk | 58104535 | 2025-Jan-25 07:04 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 59549453 | 2024-Oct-25 19:08 |
mame-arcade-0.251-r0.apk | 60092461 | 2024-Oct-25 19:07 |
kanister-tools-0.112.0-r1.apk | 61981952 | 2025-Jan-25 07:04 |
lxd-feature-5.20-r7.apk | 69252368 | 2025-Jan-25 07:04 |
nextpnr-ice40-0.7-r0.apk | 71796023 | 2024-Oct-25 19:07 |
fpc-3.2.2-r4.apk | 71848697 | 2024-Oct-25 19:07 |
mint-y-icons-1.8.3-r0.apk | 75791378 | 2025-Feb-05 22:11 |
mame-0.251-r0.apk | 86765513 | 2024-Oct-25 19:07 |
piglit-0_git20241106-r0.apk | 91822099 | 2024-Nov-08 06:18 |
dotnet6-sdk-6.0.136-r1.apk | 106952388 | 2024-Nov-25 05:20 |
moka-icon-theme-5.4.0-r2.apk | 119267281 | 2024-Oct-25 19:07 |
art_standalone-dbg-0_git20250115-r0.apk | 140270530 | 2025-Jan-16 09:05 |
dart-sdk-3.6.0-r0.apk | 165116795 | 2024-Dec-14 22:08 |
dart-stage0-3.6.0_alpha2_p0-r0.apk | 206980372 | 2024-Dec-14 22:08 |
endless-sky-0.10.2-r0.apk | 253830197 | 2024-Oct-25 19:07 |
dotnet6-stage0-bootstrap-6.0.116-r4.apk | 368666060 | 2024-Oct-25 19:07 |
trigger-rally-data-0.6.7-r2.apk | 369156363 | 2024-Oct-25 19:09 |
dotnet6-artifacts-6.0.136-r1.apk | 459653387 | 2024-Nov-25 05:19 |
sauerbraten-2020.12.29-r3.apk | 979153634 | 2024-Oct-25 19:08 |
dotnet6-stage0-artifacts-6.0.116-r4.apk | 1025454072 | 2024-Oct-25 19:07 |
dotnet6-build-6.0.136-r1.apk | 1100336225 | 2024-Nov-25 05:20 |